Laboratorio de Sistemas Digitales

Universidad Nacional Autónoma de México Facultad de Estudios Superiores Cuautitlán Departamento: Ingeniería Sección: Electrónica Laboratorio de Siste...
2 downloads 0 Views 3MB Size
Universidad Nacional Autónoma de México Facultad de Estudios Superiores Cuautitlán Departamento: Ingeniería Sección: Electrónica

Laboratorio de Sistemas Digitales

Asignatura: Sistemas Digitales Clave Carrera: 130 Clave de Asignatura : 1525

Autores : M. en TI. Jorge Buendía Gómez Ing. Jose Luis Barbosa Pacheco

Fecha de Elaboración: 2015 Fecha de modificación: Julio de 2016

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Índice Índice

I

Prologo

II

Reglamento

V

Práctica 1

Herramientas de prueba para 1.1. Sistemas digitales y analógicos.

1

Práctica 2

Compuertas lógicas con elementos discretos 2.2. Compuertas lógicas básicas, sus tablas de verdad y sus expresiones de Boole.

6

Práctica 3

Compuertas lógicas integradas 2.2. Compuertas lógicas básicas, sus tablas de verdad y sus expresiones de Boole.

10

Práctica 4

Circuitos combinacionales por álgebra de Boole 2.4. Descripción algebraica de circuitos lógicos.

16

Práctica 5

Circuitos combinacionales con mapas de Karnaugh 3.6. Método de simplificación a través del mapa de Karnaugh.

19

Práctica 6

Características de las familias lógicas 4.2. La familia Lógica Transistor – Transistor (TTL). 4.4. La familia Lógica Metal – Oxido – Semiconductor (MOS).

22

Práctica 7

Multiplexores y demultiplexores 5.3. Multiplexores y Demultiplexores.

27

Práctica 8

Unidad aritmético lógica (ALU) 5.4. Circuitos digitales para operaciones aritméticas binarias. 5.7. Unidades Aritmético Lógicas (ALU’s).

30

Práctica 9

Contador síncrono para control de motor de pasos 6.7. Aplicaciones de circuitos secuenciales.

34

Práctica 10

Autómatas finitos con máquinas de Mealy y Moore 6.8. Autómatas finitos. 6.8.1. Máquina de Mealy. 6.8.2. Máquina de Moore.

38

Práctica 11

Memorias ROM 7.5. Características de las memorias ROM.

44

Laboratorio de Sistemas Digitales

I

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Prólogo Objetivos generales de la asignatura 

Al finalizar el curso el alumno será capaz de comprender y analizar sistemas electrónicos digitales combinacionales y secuenciales, así mismo será capaz de diseñar e implementar circuitos electrónicos digitales para resolver problemas prácticos.

Objetivos del curso experimental   

El alumno aprenderá a manejar las herramientas y equipos utilizados en el laboratorio de Sistemas Digitales. El alumno analizará y comprenderá el funcionamiento de los circuitos electrónicos digitales y sus aplicaciones a circuitos con diseños prácticos. El alumno adquirirá las habilidades y las competencias necesarias para el análisis, diseño e implementación de circuitos electrónicos digitales.

Introducción Un sistema digital es aquel dispositivo destinado a la manipulación, transmisión, procesamiento o almacenamiento de señales digitales. Los sistemas digitales son una combinación de dispositivos electrónicos diseñados para procesar información representada en forma digital; es decir, que sólo puedan tomar 2 valores discretos o binarios. Para el analizar y diseñar los sistemas digitales binarios es necesario utilizar herramientas tales como:   

Algebra de Boole. Mapas de Karnaugh Método tabular de Quine McKluskey y otros

Las cuales nos permiten llevar a la práctica a los sistemas digitales e implementarlos de la forma más adecuada, optimizando los parámetros de costo, potencia, espacio, velocidad, etc. Los sistemas digitales pueden ser de dos tipos:  

Sistemas digitales combinacionales: Son aquellos en los que la salida del sistema sólo depende de la combinación de entrada actual. Sistemas digitales secuenciales: La salida depende de la entrada actual y de las entradas anteriores. Esta clase de sistemas necesitan elementos de memoria que recojan la información de los estados anteriores del sistema.

Para la implementación de los circuitos digitales, se utilizan compuertas lógicas básicas y combinadas tales como: NOT, AND, OR, NAND, NOR, XOR y XNOR, con las cuales se puede diseñar toda la estructura avanzada de los sistemas digitales complejos. La electrónica digital es posiblemente una de las ramas de la electrónica que se ha desarrollado de forma más acelerada y debido a eso es muy importante conocer los elementos y conceptos que forman la base de conocimiento de esta área.

Laboratorio de Sistemas Digitales

II

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Ventajas de los Circuitos Digitales           

Resultados predecibles y repetibles. Facilidad de diseño. Flexibilidad. Funcionalidad. Programabilidad. Velocidad Economía. Avance tecnológico constante. Exactitud. Facilidad de almacenamiento. Facilidad para transmisión datos.

En un mundo totalmente analógico los circuitos digitales han tenido un desarrollo exponencial debido a las facilidades que brindan para realizar el control, el almacenamiento y la transportación de la información binaria, debido a estas características, la mayoría de los procesamientos de señales se realizan en forma digital. En el laboratorio de Sistemas Digitales los alumnos deberán realizar la comprobación de los conceptos básicos teóricos más relevantes utilizados dentro de la electrónica digital, aprendiendo con ello a desarrollar las competencias y habilidades para el análisis, diseño, investigación, consulta de manuales, implementación de circuitos digitales, etc. En estas prácticas se presentan los elementos más importantes y las herramientas que permiten realizar el diseño de sistemas digitales. También se ha incluido la actividad de simulación de los circuitos, pues en la actualidad es muy conveniente tener un análisis previo que permita asegurar con un gran porcentaje de veracidad el comportamiento de los circuitos antes de implementarlos físicamente. Aunque el alumno debe considerar que las simulaciones dependen del grado de precisión que se logre con el software con que se implementan y por lo tanto no son totalmente apegadas a la realidad. Instrucciones para la elaboración del reporte Para la presentación del reporte se deberá cumplir con los requisitos indicados en el desarrollo de cada una de las prácticas, incluyendo:       

Nombre de la práctica. Objetivos. Introducción. Procedimiento experimental (gráficas, tablas, comentarios, etc.). Cuestionario. Conclusiones. Bibliografía.

Laboratorio de Sistemas Digitales

III

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Los criterios de evaluación para el laboratorio son los siguientes: C1 (Criterio de evaluación 1): Actividades previas C2 (Criterio de evaluación 2): Habilidad en el armado de circuitos C3 (Criterio de evaluación 3): Desarrollo y funcionalidad de la práctica C4 (Criterio de evaluación 4): Reporte entregado con todos los puntos indicados

(40%) (10%) (10%) (40%)

Los reportes deberán incluir una portada obligatoria de formato libre con la siguiente información, sin omitir ninguno de los datos. U. N. A. M. F. E. S. C. Laboratorio de : __________________________________________________________________ Grupo: _____________________________ No. de Práctica: _______________________________ Nombre de Práctica: ______________________________________________________________ Profesor: ________________________________________________________________________ Alumno: _____________________________________________________________________ Fecha de realización: _______________________ Fecha de entrega: _______________________ Semestre: ______________________

Bibliografía 1. 2. 3. 4. 5.

M. Morris Mano, Charles R. Kime, Fundamentos de Diseño Lógico y Computadoras, 3ª Edición, España, Pearson Education de México, 2007. Ronald J. Tocci, Sistemas Digitales, Principios y Aplicaciones, 10ª Edición Prentice Hall Hispanoamericana S.A., México, 2007. John F. Wakerly, Diseño Digital, Principios y Prácticas, 3ª Edición, Pearson Education de México, México, 2007. Floyd Thomas L., Fundamentos de Sistemas Digitales, 9ª Edición, Prentice Hall, Madrid, 2006. Balabanian Norman, Principios de Diseño Lógico Digital, CECSA, 1ª Edición, México, 2002. 6. Garza, Garza Juan Ángel, Sistemas Digitales Y Electrónica Digital, Prácticas De Laboratorio (Incluye CdRom), Pearson Educación de México, 1ª Edición, México, 2006.

Laboratorio de Sistemas Digitales

IV

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Reglamento interno de los laboratorios de Comunicaciones, Control, Sistemas Analógicos y Sistemas Digitales 1. Dentro del laboratorio queda estrictamente prohibido. a. Correr, jugar, gritar o hacer cualquier otra clase de desorden. b. Dejar basura en las mesas de trabajo y/o pisos. c. Sentarse sobre las mesas d. Fumar, Introducir alimentos y/o bebidas. e. Utilizar cualquier objeto ajeno a las prácticas de laboratorio, tales como: celulares o equipos de sonido (aun con audífonos) excepto algún equipo para realizar las prácticas f. La presencia de personas ajenas en los horarios de laboratorio. g. Dejar los bancos en desorden. h. Mover equipos o quitar accesorios de una mesa de trabajo a otra sin el consentimiento previo del profesor de laboratorio en turno. i. Usar o manipular el equipo sin el conocimiento previo del profesor. j. Rayar las mesas del laboratorio. k. Energizar algún circuito sin antes verificar que las conexiones sean las correctas (polaridad de las fuentes de voltaje, multímetros, etc.). l. Hacer cambios en las conexiones o desconectar equipo estando éste energizado. m. Hacer trabajos pesados (taladrar, martillar, etc.) en las mesas de las prácticas. 2. El alumno verificará las características de los dispositivos electrónicos con el manual. 3. Es responsabilidad del alumno revisar las condiciones del equipo del laboratorio al inicio de cada práctica y reportar cualquier anomalía que pudiera existir (prendido, dañado, sin funcionar, maltratado, etc.) al profesor del laboratorio correspondiente. 4. Es requisito indispensable para la realización de las prácticas, que el alumno cuente con su manual completo y actualizado al semestre en curso, los cuales podrán obtener en: http://olimpia.cuautitlan2.unam.mx/pagina_ingenieria 5. El alumno deberá traer su circuito armado para poder realizar la práctica, de no ser así no podrá realizar dicha práctica (donde aplique) y tendrá una evaluación de cero. 6. Para desarrollar trabajos, o proyectos en las instalaciones de los laboratorios, es requisito indispensable que esté presente el profesor responsable, en caso contrario no podrán hacer uso de las instalaciones. 7. Correo electrónico del buzón para quejas y sugerencias para cualquier asunto relacionado con los Laboratorios ([email protected]) 8. La evaluación del laboratorio, será en base a lo siguiente: • A (Aprobado) Cuando el promedio total de todas las prácticas de laboratorio sea mayor o igual a 6 siempre y cuando tengan el 90% de asistencia y el 80% de prácticas acreditadas en base a los criterios de evaluación. • NA (No Aprobado) No se cumplió con los requisitos mínimos establecidos en el punto anterior. • NP (No Presentó) Con o sin asistencia pero que no haya entregado reporte alguno. 9. Los casos no previstos en el presente reglamento serán resueltos por el Jefe de Sección. NOTA: En caso de incurrir en faltas a las disposiciones anteriores, el alumno será acreedor a las siguientes sanciones por parte del profesor de laboratorio según sea el caso y la gravedad, baja temporal o baja definitiva del grupo de laboratorio al que está inscrito

Laboratorio de Sistemas Digitales

V

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 1 Herramientas de prueba para sistemas digitales Tema 1.1 Sistemas digitales y analógicos. Objetivos  

Implementar un módulo de visualización con diodos luminosos (leds) para probar el estado de los circuitos digitales implementados en las prácticas. Implementar un circuito con switch de 1 polo 1 tiro (1P1T) que permita insertar valores digitales a los circuitos de las prácticas.

Introducción Para realizar pruebas de una forma más eficiente, en los sistemas digitales es recomendable utilizar herramientas de apoyo que permiten visualizar o insertar las señales requeridas por los circuitos En los sistemas digitales es necesario verificar el estado de varias señales de forma simultánea y debido a que los osciloscopios solo tienen 2 canales es preferible utilizar puntas de prueba con LED´s , tal y como se muestra en las figuras 1.1 y 1.2.

Figura 1.1 Tarjeta de leds

Figura 1.2 Vista inferior con soldaduras

También en esta práctica se implementará un circuito que permite insertar señales digitales ( 0 o 1 lógicos) a los circuitos de las prácticas y para hacerlo de una forma más rápida se usará un circuito con switch que permite insertar “0” lógicos o “0 V” y “1” lógicos o “5 V”, tal y como se muestra en la figura 1.3. Esta tarjeta de switchs podrá alimentarse también con voltajes de 10 V para poder proporcionar señales digitales a los circuitos MOS los cuales requieren mayor voltaje de operación. Este tipo de herramientas facilitan la visualización rápida de las señales que intervienen en los circuitos digitales y el alumno debe implementar ambas (circuito de leds y circuito de switchs) en forma permanente sobre una tarjeta perforada como se muestra en las figuras anteriores ya que estas herramientas se utilizarán de forma reiterada en las siguientes prácticas.

Laboratorio de Sistemas Digitales

1

Universidad Nacional Autónoma de México

Figura 1.3 Tarjeta de switchs

Facultad de Estudios Superiores Cuautitlán

Figura 1.4 Vista inferior con soldaduras

Esta práctica se realizará en 3 partes:  



En la primera el alumno probará ambos circuitos de leds y switch en la protoboard para comprender su funcionamiento y realizar las observaciones y mediciones necesarias. En la segunda parte el profesor mostrará a los alumnos la forma correcta de realizar la soldadura de los componentes del circuito sobre una tarjeta perforada y los elementos adicionales (cables, thermofit y terminales), de las herramientas de prueba. En la tercera parte el alumno construirá los 2 circuitos de prueba fuera del horario de laboratorio, sobre las tarjetas perforadas, con los elementos electrónicos soldados y con los cables correspondientes para poder emplearlas en la siguiente sesión de laboratorio.

Actividades Previas a la Práctica 1. 2.

EI alumno deberá realizar la lectura de la práctica de laboratorio. Traer armados los circuitos de las figuras 1.9 y 1.10 en la protoboard.

Material para la práctica de laboratorio Hoja técnica (Datasheet) del diodo LED XLUG50C impresa o en formato electrónico. 8 Resistencias de 0.47 kΩ a ½ W. 10 Resistencias de 1kΩ a ½ W. 8 Diodos LED del color preferido. 1 DIP switch de 10 posiciones o 2 DIP switch de 5 posiciones Material para la construcción del circuito 18 Alfileres de cabeza redonda. 30 cm. de Cable plano 1 Tarjeta perforada de 10 x 10 cm. 1 m. de Thermofit de 1/16” 1 m. de Soldadura Cautín Equipo 1 Multímetro 1 Fuente de voltaje de CD

Laboratorio de Sistemas Digitales

2

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Figura 1.5. Alfileres

Figura 1.6. Tarjeta perforada

Figura 1.7. Cable plano

Figura 1.8. Thermofit

Procedimiento Experimental 1.

Implemente en la protoboard el circuito de leds de la figura 1.9.

Figura 1.9 2. 3. 4. 5. 6.

Compruebe el comportamiento correcto del circuito, observando el encendido y apagado de cada uno de los leds, conectando las terminales de entrada al nivel de 5V o 0V respectivamente. Mida la caída de voltaje en la resistencia y la caída de voltaje en el diodo cuando esté encendido. Mida y anote la corriente del diodo cuando esté encendido. Implemente en la protoboard el circuito de switches de la figura 1.10 Compruebe el funcionamiento del circuito de la figura 1.10 tomando en consideración que las terminales de salida producen 0V o 5V dependiendo de la posición del switch correspondiente y por lo tanto se debe tener cuidado de que las terminales de salida no se toquen entre sí ya que producirían un corto circuito. Esta consideración debe tomarse en cuenta siempre que se utilice esta herramienta dentro de los circuitos de las prácticas.

Laboratorio de Sistemas Digitales

3

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Figura 1.10 7.

El maestro explicará la técnica de soldadura de componentes electrónicos y la técnica de desoldado.

Figura 1.11 8.

El maestro mostrará los diferentes tipos de placas empleadas para realizar circuitos impresos

Figura 1.12

9.

El maestro demostrará el procedimiento correcto de soldado de componentes sobre una placa perforada.

Laboratorio de Sistemas Digitales

4

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

10. El maestro demostrará el procedimiento correcto para conectar el cable plano a la tarjeta perforada y a los alfileres que fungirán como terminales del circuito. 11. El maestro demostrará el procedimiento correcto de aplicación del thermofit para proporcionar aislamiento eléctrico a cada una de las terminales del circuito. 12. El alumno construirá el circuito de leds de la figura 1.9 sobre la tarjeta perforada y comprobará que no exista continuidad entre las diferentes líneas, observe como referencia las figuras 1.1 y 1.2. Los cables rojos que se ven en ambas figuras solo sirven de soporte para el cable plano y no forman parte del circuito eléctrico. 13. El alumno construirá el circuito de switches de la figura 1.10 sobre la tarjeta perforada y comprobará que no exista continuidad entre las diferentes líneas, observe como referencia las figuras 1.3 y 1.4. Los cables rojos que se ven en ambas figuras solo sirven de soporte para el cable plano y no forman parte del circuito eléctrico. Cuestionario 1.

De la hoja técnica del diodo LED XLUG50C, localice los valores de los parámetros siguientes y anótelos en la siguiente tabla Corriente en directa Corriente en inversa Corriente pico Potencia Voltaje en directa Longitud de onda pico Ancho espectral Material empleado para la emisión de la luz Tabla 1.1

2. 3. 4. 5. 6. 7.

Explique el significado de cada uno de los parámetros mencionados en la pregunta 1 del cuestionario. Explique porqué el circuito de la figura 1.9 debe de tener una resistencia en serie con el diodo. Explique porque los diodos LED no deben conectarse de forma directa, sin resistencia, a la salida de un circuito digital. Determine el valor mínimo de resistencia que podría emplearse para no exceder los parámetros del diodo definidos por la hoja de datos. Dibuje el diagrama de los switch: 1 polo 1 tiro (1P1T), 2 polos 1 tiro (2P1T) y 2 polos 2 tiros (2P2T) y explique a que se refiere el concepto polo y tiro. Compruebe matemáticamente los valores de corriente y voltaje analizando la malla del circuito y tomando en cuenta la hoja técnica del diodo LED XLUG50C.

Laboratorio de Sistemas Digitales

5

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 2 Compuertas lógicas con elementos discretos Tema 2.2. Compuertas lógicas básicas, sus tablas de verdad y sus expresiones de Boole. Objetivo  

Comprobar las tablas de verdad de las compuertas lógicas básicas implementadas con elementos discretos: diodos, transistores y resistencias. Analizar los niveles de voltaje asociados con los niveles lógicos 0 y 1 generados por los circuitos implementados.

Introducción Las compuertas lógicas básicas se construyen empleando elementos discretos tales como diodos, resistencias y transistores, los cuales son encapsulados en un solo circuito integrado de silicio para formar los sistemas digitales. En cada uno de estos circuitos es posible integrar millones de estos elementos para formar sistemas desde muy simples como las compuertas, hasta muy complejos como los microprocesadores. Los circuitos mostrados en esta práctica pertenecen a la familia lógica DTL (Diode Transistor Logic), que fueron de los primeros circuitos empleados para crear compuertas digitales. Las compuertas discretas no tienen las condiciones de trabajo ideales que se han alcanzado en los circuitos actuales, pues no alcanzan la velocidad, consumo de potencia, niveles de voltaje, corriente y algunas otras características que son deseables para los circuitos modernos. Estas compuertas discretas están diseñadas para trabajar con solo 2 valores de operación, cuyos valores se asocian a 2 voltajes analógicos (0V. y 5V.) y a dos valores lógicos (0 y 1) respectivamente, aunque hay que tomar en cuenta que debido a la naturaleza de los circuitos que componen a las compuertas, dichos valores estarán realmente dentro de un rango, con la suficiente separación entre los dos valores para poderlos distinguir de forma satisfactoria.

Entrada

Salida Figura 2.1

Laboratorio de Sistemas Digitales

6

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

En esta práctica se implementarán las compuertas lógicas básicas, comprobando sus tablas de verdad a través de los voltajes introducidos en sus entradas y los voltajes obtenidos en sus salidas. También se medirán y analizarán los valores de voltaje analógico obtenidos en las salidas de las compuertas y su relación con los valores digitales asociados. Actividades Previas a la Práctica 1. 2. 3.

EI alumno deberá realizar la lectura de la práctica de laboratorio. Realizar la simulación de los circuitos de las figuras 2.2, 2.3, 2.4 y 2.5. y comprobar las tablas de verdad de cada uno de los circuitos en base a la simulación del circuito. Traer armados los circuitos en la Protoboard.

Material 2 Diodos rectificadores IN4001 o equivalente 1 Resistencia de 1 KΩ a ½ W 1 Resistencia de 2.2 KΩ a ½ W 1 Resistencia de 180 KΩ a ½ W 1 Transistor BC547 Hoja técnica del transistor BC547 impresa o en formato electrónico. Equipo 1 Fuente de Voltaje de C.D. 1 Multímetro Digital 1 Tableta de Conexiones Circuito de leds de la práctica 1 Circuito de switch de la práctica 1 Desarrollo 1. 2.

3. 4.

Arme el circuito que se muestra en la figura 2.2, considerando un nivel de alimentación de 5 Vcd. Obtenga la tabla de verdad del circuito insertando en la entrada A, el voltaje correspondiente, de acuerdo a la tabla 2.1, observe que cada nivel de voltaje de entrada se puede asociar con su correspondiente valor lógico. Emplee el circuito de switch para insertar los niveles de voltaje de entrada y el circuito de leds para observar la salida. Complete la tabla 2.2 anotando los voltajes de la señal de salida (S) medidos con el multímetro. Señal de Entrada

Nivel Lógico

0V

0

5V

1 Tabla 2.1

Laboratorio de Sistemas Digitales

7

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

A

S

0V 5V Figura 2.2 5.

Tabla 2.2

Arme los circuitos de las figuras 2.3 y 2.4, obtenga la tabla de verdad en función de los niveles de voltaje analógicos, anótelos en las tablas 2.3 y 2.4 respectivamente.

Figura 2.3 A 0V 0V 5V 5V

B 0 5V 0 5V

Figura 2.4 S

Tabla 2.3 6.

A 0V 0V 5V 5V

B 0 5V 0 5V

S

Tabla 2.4

Arme los circuitos de las figuras 2.4 y 2.5, obtenga la tabla de verdad en función de los niveles de voltaje analógicos, anótelos en las tablas 2.5 y 2.6 respectivamente.

Figura 2.5 Laboratorio de Sistemas Digitales

Figura 2.6 8

Universidad Nacional Autónoma de México

A 0V 0V 5V 5V

B 0 5V 0 5V

Tabla 2.5

S

Facultad de Estudios Superiores Cuautitlán

A 0V 0V 5V 5V

B 0 5V 0 5V

S

Tabla 2.6

Cuestionario 1. 2. 3.

4. 5.

Escriba las tablas de verdad lógicas para cada uno de los 5 circuitos armados en la práctica. Escriba la función de Boole para cada uno de los circuitos armados. Investigue y haga un resumen del número, descripción de terminales y diagrama de cada uno de los 5 circuitos integrados correspondientes a cada una de las funciones obtenidas para cada uno de los circuitos armados. Utilice el manual de circuitos integrados digitales TTL de la familia lógica 74XXX. Investigue el significado de los términos VIH, VIL, VOH y VOL para la familia lógica TTL (Transistor Transistor Logic) y explique los conceptos en forma gráfica. ¿Concuerdan los valores de voltaje de entrada y salida obtenidos en los circuitos armados con los valores teóricos definidos para los circuitos integrados? Justifique su respuesta.

Laboratorio de Sistemas Digitales

9

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 3 Compuertas lógicas integradas Tema 2.2. Compuertas lógicas básicas, sus tablas de verdad y sus expresiones de Boole. Objetivos   

El alumno comprobará el funcionamiento los circuitos integrados de las familias lógicas digitales TTL y CMOS El alumno comprobará las tablas de verdad de las compuertas básicas integradas. El alumno comparará los valores de voltaje de las compuertas TTL y las compuertas CMOS.

Introducción En la actualidad existe una gama amplia de familias lógicas digitales, las cuales se implementan en forma de circuitos integrados. De las cuales las familias TTL y CMOS son las más ampliamente comercializadas. Los circuitos integrados de las diferentes familias lógicas se emplean de acuerdo a la aplicación sobre la que serán empleados ya que tienen características muy diversas entre sí, como pueden ser: la potencia consumida, la velocidad de respuesta, su inmunidad al ruido, los voltajes de alimentación, grados de integración, costo, tamaño, encapsulamiento, temperatura de trabajo, etc. La familia TTL es una familia empleada con mucha regularidad en el diseño de sistemas digitales debido a su versatilidad y facilidad de manejo.

Figura 3.1 Los circuitos integrados que contienen compuertas son considerados como circuitos de baja escala de integración (Small Scale Integrated o SSI) puesto que solo contienen de 4 a 10 compuertas y por eso son los elementos más básicos de la electrónica digital, pero fundamentales para la construcción de grandes bloques funcionales empleados para la construcción de microprocesadores, memorias y todos los sistemas digitales conocidos. En comparación con las familias lógicas bipolares entre las que se encuentran las TTL, las familias lógicas de tecnología Metal Oxido Semiconductor o familias MOS, tienen tiempos de respuesta mayores, el consumo de potencia es menor debido a que son dispositivos que trabajan en base a voltajes y no en base a corrientes como lo hacen los transistores BJT, el margen de ruido que soportan es mayor debido a que trabajan en estado de encendido o apagado con altas impedancias de dispositivo, poseen un mayor intervalo de suministro de voltaje, un factor de carga más elevado puesto que consumen corrientes muy reducidas y es por eso que una sola salida puede alimentar a muchas entradas. Una de sus características más importantes es que ocupan un área mucho menor dentro de un circuito integrado que sus contrapartes TTL y es por ello que son las compuertas más ampliamente empleadas en la construcción de circuitos integrados de escala de integración muy alta (VLSI), tales como memorias, microprocesadores, microcontroladores, dispositivos lógicos programables y otros. Laboratorio de Sistemas Digitales

10

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Existen diferentes series de la familia CMOS de CI digitales. Donde cada una de ellas cubre una condición de diseño para diferentes dispositivos digitales, entre ellas, compatibilidad con TTL, rango de voltaje, potencia disipada, etc. La serie 4000, que fue introducida por RCA fue la primera familia CMOS. La serie original es la serie 4000A; la 4000B representa una mejora con respecto a la primera y con una mayor capacidad de corriente.

Figura 3.2 En esta práctica el alumno obtendrá las tablas de verdad lógicas y de voltajes de cada una de las compuertas básicas; AND, OR, NAND, NOR, NOT y XOR en ambas familias lógicas TTL y CMOS. Actividades Previas a la Práctica 1. 2. 3.

EI alumno deberá realizar la lectura de la práctica de laboratorio. Realizar la simulación de los circuitos de las figuras 3.3, 3.5, 3.6, 3.7, 3.8, 3.9, 3.10, 3.12, 3.13, 3.14 y 3.15. Comprobar las tablas de verdad de cada uno de los circuitos

Material 1 C.I. 74LS00 1 C.I. 74LS02 1 C.I. 74LS04 1 C.I. 74LS08 1 C.I. 74LS32 1 C.I. 74LS86 1 C.I. 4001 1 C.I. 4011 1 C.I. 4069 1 C.I. 4070 1 C.I. 4071 Circuito de switches de la práctica 1 Circuito de leds de la práctica 1 Equipo 1 Fuente de Voltaje de C.D. 1 Multímetro Digital 1 Tableta de Conexiones Desarrollo 1.

Implemente el circuito de la figura 3.3 empleando el circuito integrado 74LS04, considerando que dicho circuito contiene 6 compuertas inversoras, de las cuales solo se empleará 1. Todos los circuitos integrados TTL de la familia 74XX que vamos a emplear en esta práctica, requieren una alimentación de 5 V en la terminal 14 y 0 V en la terminal 7 como se muestra en la figura 3.4, los números mostrados en la figura representan el número de terminal del circuito integrado.

Laboratorio de Sistemas Digitales

11

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

14

8

1

7

V1

5V

Figura 3.3 2. 3.

Figura 3.4

Utilice los circuitos de switch y de leds construidos en la práctica 1 para insertar las señales digitales de entrada y para visualizar las señales entrada y de salida. Obtenga la tabla de verdad de la compuerta proporcionando a la entrada el nivel de voltaje adecuado, considerando que un 1 lógico es igual a 5 V y un cero lógico es igual a 0 V y midiendo con el multímetro el voltaje correspondiente en la salida. A

S

0V 5V Tabla 3.1 4. 5. 6.

Desconecte la entrada A del circuito, dejando dicha terminal al aire (sin conexión) y analice el funcionamiento de la salida del circuito, explique el comportamiento. Obtenga las tablas para cada uno de los circuitos 3.5, 3.6 y 3.7 considerando que ahora el circuito tiene 2 entradas. Observe que la configuración de terminales de los siguientes circuitos no tiene ningún cambio y solo hay que hacer el intercambio de los circuitos integrados.

Figura 3.5

Laboratorio de Sistemas Digitales

A

B

0V

0V

0V

5V

5V

0V

5V

5V

S

Tabla 3.2

12

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

A

B

0V

0V

0V

5V

5V

0V

5V

5V

Figura 3.6

Tabla 3.3

A

B

0V

0V

0V

5V

5V

0V

5V

5V

Figura 3.7

S

Tabla 3.4

A

B

0V

0V

0V

5V

5V

0V

5V

5V

Figura 3.8 7.

S

S

Tabla 3.5

Obtenga la tabla para el circuito 3.9 considerando que las terminales de este circuito son diferentes a las de los circuitos anteriores ya que la salida está en la terminal 1. Las alimentaciones se mantienen en la misma posición.

Figura 3.9 Laboratorio de Sistemas Digitales

A

B

0V

0V

0V

5V

5V

0V

5V

5V

S

Tabla 3.6 13

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

8.

Los circuitos que se emplearán a continuación pertenecen a la familia MOS y deben manipularse con cuidado ya que son sensibles a descargas estáticas introducidas al manipularlos y por lo tanto deberán tomarse por las orillas sin tocar de forma directa las terminales. 9. Implemente el circuito de la figura 3.10 empleando el circuito integrado CD4069, considerando que dicho circuito contiene 6 compuertas inversoras, de las cuales solo se empleará 1. Todos los circuitos integrados CMOS de la familia 40XX permiten una alimentación en un rango de 3V a 15 V en la terminal 14 (VDD) y 0 V en la terminal 7 (VSS). 10. Fije el valor de la fuente a 10 V. como se muestra en la figura 3.11. 11. También debe cambiarse el voltaje de alimentación del circuito de switchs a 10 V.

14

8

1

7

V1

10 V

Figura 3.10

Figura 3.11

12. Obtenga la tabla de verdad de la compuerta proporcionando a la entrada el nivel de voltaje adecuado, considerando que un 1 lógico es igual a 10 V y un cero lógico es igual a 0 V y midiendo con el multímetro el voltaje correspondiente en la salida. A

S

0V 10V Tabla 3.7 13. Desconecte la entrada A del circuito, dejando dicha terminal al aire (sin conexión) y analice el funcionamiento de la salida del circuito, explique el comportamiento. 14. Obtenga las tablas para cada uno de los circuitos 3.12, 3.13, 3.14 y 3.15, considerando que ahora se tienen 2 entradas. 15. Observe que la configuración de terminales no cambia y solo es necesario intercambiar el circuito integrado.

Figura 3.12

Laboratorio de Sistemas Digitales

A

B

0V

0V

0V

10V

10V

0V

10V

10V

S

Tabla 3.8

14

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

A

B

0V

0V

0V

10V

10V

0V

10V

10V

Figura 3.13

Tabla 3.9

A

B

0V

0V

0V

10V

10V

0V

10V

10V

Figura 3.14

Figura 3.15

S

S

Figura 3.10

A

B

0V

0V

0V

10V

10V

0V

10V

10V

S

Tabla 3.11

Cuestionario 1.

2. 3. 4. 5. 6. 7.

Investigue el significado de las siglas correspondientes a cada una de las familias lógicas: RTL, DTL, TTL, IIL, ECL, NMOS, CMOS y dibuje el circuito discreto básico (resistencias, transistores, etc.) para cada una de ellas. Describa el significado de las letras intermedias presentes en los integrados de la familia TTL, tales como 74L00, 74H00, 74S00, 74LS00, 74AS00, 74ALS00, 74C00, 74HC00 y 74HCT00. Investigue el concepto de Fan – Out en las compuertas TTL y CMOS y comente acerca de las semejanzas y diferencias. Qué relación existe entre la salida de voltaje de cada familia (TTL y CMOS) y la fuente de alimentación para cada uno de los circuitos. Investigue porque los circuitos integrados CMOS son sensibles a las cargas estáticas. Que circuitos integrados (TTL o CMOS) tienen mejor desempeño en un diseño que se alimente de baterías. Que circuitos integrados (TTL o CMOS) se emplearían en un circuito que funciona con señales de frecuencia elevada.

Laboratorio de Sistemas Digitales

15

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 4 Circuitos combinacionales por álgebra de Boole Tema 2.4. Descripción algebraica de circuitos lógicos. Objetivos    

El alumno diseñará un circuito digital para la de detección de errores en el encendido de un semáforo. El alumno implementará el circuito lógico combinacional diseñado empleando compuertas integradas. El alumno comprobará la validez de la solución obtenida a través de algebra de Boole. El alumno comprobará la tabla de verdad del circuito.

Introducción Los circuitos digitales combinacionales son aquellos en los cuales el nivel lógico de la salida depende solo de la combinación de los niveles lógicos de las entradas presentes en ese instante. Un circuito combinacional no tiene las características de memoria o realimentación que poseen los circuitos secuenciales. Los circuitos combinacionales tienen “n” entradas lógicas con las cuales se puede obtener un conjunto de 2 n combinaciones posibles las cuales pueden ser expresadas en una tabla de verdad como lo muestra la figura 4.1. 2 entradas (A y B)

A

B

1 salida (S)

0 0 1 1

0 1 0 1

22 = 4 combinaciones

S Tabla de verdad

Figura 4.1 Un circuito combinacional puede tener “m” salidas que dependen de un solo conjunto de entradas pero cada una de las salidas es totalmente independiente de las otras y pueden tratarse de forma separada.

Entradas

Circuito Combinacional

A B C D

S1 S2 S3 S4

Salidas

Figura 4.2 Cada una de las salidas S1, S2, S3, etc…, de un circuito combinacional se debe definir a través de su tabla de verdad, de ecuaciones en algebra de boole, de su mapa de Karnaugh o través de afirmaciones y negaciones que definan el comportamiento del circuito para cada una de las posibles combinaciones de entrada. También es posible que para algunas de las combinaciones de entrada no estén definidas las salidas correspondientes y es por ello que se pueden establecer las salidas correspondientes con un valor especial denominado “no importa” (D’ont Care).

Laboratorio de Sistemas Digitales

16

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Estos valores pueden ser considerados para el análisis del circuito de acuerdo a la conveniencia del diseñador, como “1” o “0” lógico ya que al no ser importante su valor para la definición de la salida, este comportamiento no afecta a los términos que son primordiales. En la presente práctica se diseñará un circuito que detecta el funcionamiento incorrecto de un semáforo a partir del comportamiento de los 3 focos que conforman el dispositivo. Si el funcionamiento es incorrecto esto se indicará a través de un LED, el cual se encenderá indicando la condición de error.

Rojo (R) Amarillo (A) Verde (V)

Figura 4.3 El circuito debe contar con 3 entradas (Rojo, Amarillo y Verde) y una sola salida (detección de error) como se muestra en la figura 4.4. R A V

Detector de Error

S

Figura 4.4 La salida S deberá estar conectada a un LED que deberá encender sí:  

Dos o más luminarias están encendidos en forma simultánea. Cuando ninguna de las luminarias este encendida.

Con lo cual se deduce que solo hay 3 posibilidades correctas del total de las posibilidades de la tabla de verdad que tiene 3 entradas y una sola salida. El comportamiento correcto es cuando solo una luminaria está encendida. Actividades previas 1. 2. 3. 4. 5. 6.

Escriba la tabla de verdad del sistema. Escriba la función de Boole de la tabla de verdad empleando el método de minitérminos. Reduzca la función empleando álgebra de Boole e incluya todo el desarrollo realizado para obtener la función final. Dibuje el circuito resultante empleando compuertas básicas (NOT) o (AND, OR, NAND, NOR o XOR) de 2 entradas. Realice la simulación del circuito e imprima el diagrama correspondiente indicando sobre de él la numeración de las terminales para cada compuerta. Arme el circuito en la protoboard

Material Circuitos integrados necesarios para la implementación del diseño Circuito de switches de la práctica 1. Circuito de leds de la práctica 1. Laboratorio de Sistemas Digitales

17

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Equipo 1 Fuente de Voltaje de C.D. 1 Multímetro Digital Desarrollo 1.

2.

Arme el circuito diseñado en las actividades previas, adicionando las conexiones necesarias para proporcionar las 3 entradas a partir de los switches y la visualizacón de los valores de las 3 entradas (R, A y V) y la salida (S) con el circuito de leds. Compruebe la tabla de verdad considerando que un foco del semáforo en condición de apagado proporciona un 0 lógico y un foco del semáforo en condición de encendido proporciona un 1 lógico.

Cuestionario 1.

2. 3.

Diseñe un circuito que detecte si un número expresado en código de exceso 3 (XS3) de 4 bits enviado a través de un sistema de comunicaciones, es un número válido para este código, si no está dentro de este rango indicarlo con el encendido de un led. Realice todos los pasos del diseño e inclúyalos en su reporte. Simule e imprima el circuito resultante.

Laboratorio de Sistemas Digitales

18

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 5 Circuitos combinacionales con mapas de Karnaugh Tema 3.6. Método de simplificación a través del mapa de Karnaugh. Objetivo    

El alumno diseñará un circuito lógico combinacional a partir de un problema específico. El alumno obtendrá la tabla de verdad del circuito lógico. El alumno reducirá la tabla a través de Mapas de Karnaugh El alumno implementará el circuito a través de circuitos lógicos de la familia TTL.

Introducción En esta práctica el alumno planteará la solución de un problema práctico y lo resolverá empleando el método de mapas de Karnaugh, ya que al ser un problema con mayor número de variables se complica la realización de su solución por medio de algebra de Boole. En sistemas de 4, 5 y 6 variables la solución se realiza a través de los mapas bidimensionales que permiten una solución más rápida y confiable. En la figura 5.1 se muestra un mapa de Karnaugh de 5 variables.

Figura 5.1 Para sistemas con un mayor número de variables se utiliza la separación del problema en elementos más pequeños o métodos tabulares como el de Quine Mc Cluskey. El sistema que se desea diseñar tiene las siguientes características: En un concurso, la calificación la realiza un conjunto de 4 jueces en donde cada uno de ellos tiene acceso a un switch que permite establecer solo 2 calificaciones como se muestra en la tabla 5.1. Calificación

Significado Lógico

A favor

1

En contra

0

Tabla 5.1

Laboratorio de Sistemas Digitales

19

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Estas 4 calificaciones se insertan en un circuito combinacional a través de 4 señales digitales denominadas A, B, C y D como se muestra en la figura 5.2. A B C D

Circuito de Control de Votaciones

X Y

Figura 5.2 El sistema debe tener 2 salidas denominadas X e Y que se definen en función de las siguientes consideraciones. X

Y

Resultado de la Calificación

0

0

Concursante Fuera

1

0

Sigue concursando

1

1

Gana concurso Figura 5.3

  

El concursante queda fuera si el número de votos en contra es mayor que el número de votos a favor con una diferencia mínima de 2. Ejemplo (4-0 o 3-1) El concursante sigue participando si el número de votos en contra y los votos a favor es igual (2 – 2). El concursante gana si el número de votos en contra es menor al número de votos a favor con una diferencia mínima de 2. Ejemplo (1-3 o 0-4).

Actividades previas 1. 2. 3. 4. 5.

Escriba la tabla de verdad del sistema indicando todas las combinaciones posibles de las 4 entradas (A, B, C y D) y los valores de la salidas (X e Y) Reduzca la tabla de verdad de cada una de las 2 salidas empleando Mapas de Karnaugh, incluya las reducciones de ambos mapas dentro del reporte. Dibuje los circuitos resultantes empleando compuertas TTL o CMOS con cualquier número de entradas. Considere el empleo de términos repetidos para poder usar las compuertas en forma compartida. Realice la simulación del circuito e imprima el circuito resultante incluyendo los números de terminal. Arme el circuito en la protoboard.

Material Circuito de switches de la práctica 1 Circuito de leds de la práctica 1 Circuitos Integrados de la familia TTL o de la familia CMOS necesarios para implementar el diseño Equipo 1 Fuente de Voltaje de C.D. 1 Multímetro Digital Desarrollo 1. 2.

Conecte el circuito de switches a las 4 entradas del circuito diseñado. Conecte las 4 entradas y las 2 salidas al circuito de leds.

Laboratorio de Sistemas Digitales

20

Universidad Nacional Autónoma de México

3.

Facultad de Estudios Superiores Cuautitlán

Compruebe la tabla de verdad a través del estado lógico de los 4 leds correspondientes a las 4 señales de entrada (A, B, C y D) y de las 2 salidas (X e Y).

Cuestionario 1.

Realice la implementación de un circuito de control de votaciones como el visto en esta práctica, pero incrementando el número de jueces a 5 y considerando lo siguiente:



El concursante queda fuera si el número de votos en contra es mayor que el número de votos a favor con una diferencia mínima de 3. Ejemplo (5-0 o 4-1) El concursante sigue participando si la diferencia de votos en contra y los votos a favor es igual a 1. Ejemplo (3-2 o 2-3). El concursante gana si el número de votos en contra es menor al número de votos a favor con una diferencia mínima de 3. Ejemplo (1-4 o 0-5).

 

2.

Diseñe y simule el circuito resultante empleando la herramienta tabular de Quine Mc Cluskey.

Laboratorio de Sistemas Digitales

21

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 6 Características de las familias lógicas Tema 4.2. La familia Lógica Transistor – Transistor (TTL). 4.4. La familia Lógica Metal – Oxido – Semiconductor (MOS). Objetivos   

Obtener los valores de los parámetros característicos, de las familias lógicas TTL y CMOS. Diferenciar entre las configuraciones de salida del tipo tótem, colector abierto y tercer estado. Comprobar los valores prácticos de los parámetros de un circuito integrado.

Introducción Los circuitos integrados (CI) digitales, también llamados chip o microchip, se componen internamente de muchos transistores para formar compuertas lógicas que, a su vez, realizarán una función. La escala de integración, es decir, la cantidad de transistores de la cual se compone el CI, determina la complejidad de dicho componente.

Figura 6.1 Compuerta NAND 74LS00.

Existen escalas de integración que van desde cientos (pequeña escala de integración SSI, por sus siglas en inglés), miles (LSI) hasta millones (VLSI) o billones de transistores (VVLSI). Están fabricados de obleas compuestas por un material semiconductor que conduce electricidad bajo ciertas condiciones y no lo hace en otras.

Figura 6.2 Laboratorio de Sistemas Digitales

22

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Las familias lógicas tuvieron su origen en el año de 1970 y continuaron su desarrollo y mejoramiento durante los siguientes años. Las familias lógicas más comunes son TTL (Lógica de Transistor y Transistor) y CMOS (Metal Óxido Semiconductor Complementario). Las compuertas pertenecientes a TTL están construidas con transistores bipolares de una sola juntura (BJT), varios transistores forman una compuerta básica (NAND, NOR, NOT) y varias compuertas están integradas en un sólo circuito, ya sea para formar una función o para usarlas de manera independiente. Por otro lado, las compuertas pertenecientes a la familia CMOS, están construidas con transistores de efecto de campo (FET) hechos de una placa metálica con otro material óxido y semiconductor. Los MOS pueden ser de canal N (N-MOS), en dónde el canal de conducción de la corriente eléctrica está formado por electrones, o de canal P (P-MOS) en los cuáles el canal está formado por los protones. Dentro de las mismas familias lógicas existe otra diferencia en cuanto a la configuración de salida de las compuertas de que se compone. De acuerdo con esta configuración de salida, las compuertas pueden ser de tipo tótem, de colector abierto o de tercer estado.

Figura 6.3 Compuerta NAND con salida Totem Pole.

Figura 6.4 Compuerta NAND con salida de Colector Abierto.

Figura 6.5 Compuerta NAND con salida de tercer estado. Además si la salida posee amplificadores de corriente, se dice que su salida es del tipo “buffer”. Otra característica que puede poseer la compuerta es que cuente con un circuito comparador con histéresis para acondicionamiento de la señal. Aunque estas familias lógicas tienen muchos años de haberse inventado, la justificación principal para seguirlas usando es que resultan provechosas desde un punto de vista didáctico para reforzar los conocimientos teóricos con la práctica. Laboratorio de Sistemas Digitales

23

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Por lo tanto, en ésta práctica abordaremos el uso de las familias lógicas TTL y CMOS, para conocer sus características principales en cuanto a corrientes, voltajes, factor de carga, tiempo de respuesta y consumo de corriente, implementaremos circuitos combinando ambas familias lógicas. Usaremos circuitos integrados con compuertas de diferente configuración, de los transistores en su salida (tótem pole, colector abierto, tercer estado y salida con “buffer”). Finalmente resaltaremos la importancia del uso de las hojas técnicas para nuestros cálculos durante la etapa del diseño. Actividades previas a la práctica 1. 2. 3. 4.

El alumno realizará la lectura de la práctica y traerá los circuitos armados. Realizar la simulación de los circuitos de las figuras 6.6, 6.7 y 6.8. Para el circuito de la figura 6.6, calcular el valor de voltaje en el punto marcado como “A” y el valor de corriente en el punto marcado como “Salida”, tomando los datos de la hoja técnica del CI 4011. Para el circuito de la figura 6.7, obtener el valor de voltaje de conducción (V df) del LED1 a utilizar, tomar los datos necesarios de la hoja técnica del CI 74LS05 y calcular el valor de R1.

Equipo 1 Fuente de voltaje de CD. 1 Multímetro. Material 1 CI 4011 1 CI 74LS125 1 CI 74LS05 1 Resistencia (R1) del valor calculado. 1 Diodo emisor de luz (LED1). Hojas técnicas de los circuitos empleados impresas o en formato electrónico. Procedimiento experimental 1.

Arme el circuito mostrado en la figura 6.6, haciendo uso de la herramienta de prueba de la práctica 1.

Figura 6.6 Compuerta CMOS.

2.

Cambie la posición de los conmutadores y llene la tabla 6.1, midiendo el voltaje en las entradas A y B, y el valor de corriente en el punto marcado como “Salida”.

Laboratorio de Sistemas Digitales

24

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Conmutadores SW1 SW2 Cerrado Cerrado Cerrado Abierto Abierto Cerrado Abierto Abierto

Voltaje A B

Corriente Salida

Tabla 6.1 Valores de corriente y voltaje.

3.

Arme el circuito mostrado en la figura 6.7, haciendo uso (en la entrada) de la herramienta de prueba de la práctica 1, colocar la resistencia (R1) del valor calculado y el LED1 seleccionado.

Figura 6.7 Compuerta TTL de colector abierto.

4.

Cambie la posición del conmutador y llene la tabla 6.2 con los valores de voltaje y corriente medidos en el punto marcado como “Salida”. Entrada SW1 Abierto Cerrado

Salida Voltaje Corriente

Tabla 6.2 Valores de corriente y voltaje.

5.

Arme el circuito mostrado en la figura 6.8, haciendo uso de la herramienta de prueba de la práctica 1.

Figura 6.8 Compuerta TTL de tercer estado.

Laboratorio de Sistemas Digitales

25

Universidad Nacional Autónoma de México

6.

Facultad de Estudios Superiores Cuautitlán

Cambie la posición de los conmutadores y llene la tabla 6.3, midiendo el voltaje y la corriente en la entrada C, y en el punto marcado como "Salida". Entradas SW1 SW2 Cerrado Cerrado Cerrado Abierto Abierto Cerrado Abierto Abierto

Entrada C Voltaje Corriente

Salida Voltaje Corriente

Tabla 6.3 Valores de corriente y voltaje.

Cuestionario 1.

Para el circuito de la figura 6.6, comparar los datos obtenidos teóricamente con los resultados de la tabla 6.1 y anote sus observaciones. 2. Calcular la potencia máxima disipada por el circuito mostrado en la figura 6.6. 3. Calcular la potencia máxima disipada por el circuito mostrado en la figura 6.8. 4. Con los datos de la hoja técnica, calcular el margen de ruido de una compuerta NAND del CI 4011, primero al alimentarlo con una fuente de +5v y luego con una fuente de +15v. 5. Con los datos de la hoja técnica, calcular el margen de ruido de una compuerta de tercer estado del CI 74LS125, compare sus resultados con los obtenidos en la respuesta a la pregunta 2 y anote sus observaciones. 6. Con base en los datos de la hoja técnica, determine el tiempo de retardo máximo de una compuerta del CI 4011 y de una compuerta del CI 74LS05, compare los tiempos obtenidos y anote sus observaciones. 7. De acuerdo con los resultados obtenidos en la tabla 6.3, explique el funcionamiento y mencione una aplicación de las compuertas de tercer estado. 8. Con base en los resultados obtenidos en la tabla 6.2, explique el funcionamiento y mencione una aplicación de las compuertas de colector abierto. 9. Tomando en cuenta los datos de la hoja técnica del CI 4011 y del CI 74LS125, determine cuántas compuertas del 74LS05 se pueden conectar a la salida de una compuerta del 4011. Comente su resultado. 10. Conecte la salida de una compuerta del CI 4011 a la entrada de una compuerta del CI 74LS125 y, a su vez, la salida de éste a la entrada de una compuerta del CI 74LS05. Determine cuántas compuertas del CI 74LS05 se pueden conectar a la salida de una compuerta del CI 74LS125. Elabore el diagrama y comente sus resultados.

Laboratorio de Sistemas Digitales

26

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 7 Multiplexores y demultiplexores Tema 5.3. Multiplexores y Demultiplexores. Objetivos   

Comprobar el funcionamiento de los circuitos integrados multiplexores y de los circuitos demultiplexores. Implementar una tabla de verdad combinacional empleando un multiplexor. Realizar un sistema de transmisión empleando un multiplexor y un demultiplexor.

Introducción Los multiplexores (MUX) son circuitos de mediana escala de integración (MSI) debido a que en su construcción se emplean algunas decenas de componentes básicos que realizan en conjunto una función específica. Los multiplexores son empleados como selectores de señal que trasladan la información de uno de los múltiples canales de entrada, sobre un canal único de salida y así reducen la necesidad de canales múltiples de transmisión, para realizar esta función requieren señales de control que les permitan seleccionar cuál de los canales de entrada será trasladado a la salida Son muy empleados en los sistemas de comunicación de datos para multiplexar en tiempo (Time Divison Multiplexing o TDM) a varias fuentes de información sobre un canal único de transmisión, en la figura 7.1 se muestra un multiplexor de 4 canales a 1 y 2 señales de control. A este multiplexor se le denomina MUX 4 a 1.

Figura 7.1 Dentro de sus aplicaciones en la electrónica digital se encuentra la implementación de tablas de verdad sin el empleo de compuertas lógicas adicionales o con un mínimo de ellas. Los dispositivos demultiplexores (DEMUX) realizan la función inversa puesto que tienen una sola entrada y múltiples canales de salida. Al igual que los MUX, los DEMUX requieren señales de control a través de las cuales se selecciona en cuál de los canales de salida aparecerá la información del canal de entrada. En la figura 7.2 se muestra un demultiplexor con 1 canal de entrada, 4 canales de salida y sus 2 señales de selección. A este demultiplexor se le denomina DEMUX 1 a 4.

Laboratorio de Sistemas Digitales

27

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Figura 7.2 Como se mencionó anteriormente, para seleccionar el canal de entrada en los multiplexores y el canal de salida en los demultiplexores, se requieren señales de control adicionales que permitan indicarle a los circuitos el número de canal a emplear, la selección es aleatoria y no se requiere entrar y salir por el mismo número de canal. En un multiplexor con 2n entradas, n indicaría el número de líneas de control. En conjunto ambos dispositivos se emplean en los sistemas de comunicaciones para transmitir múltiples canales de información a través de un solo medio físico.

Figura 7.3 Sistema de comunicaciones multiplexado en tiempo Debido a las características tanto de los multiplexores como de los demultiplexores, estos dispositivos son empleados para la implementación de tablas de verdad de sistemas combinacionales, logrando con ello la reducción del número de compuertas lógicas necesarias para realizar un diseño. Actividades Previas 1.

Obtenga la tabla de verdad de la función booleana siguiente. (𝐴, 𝐵, 𝐶, 𝐷) = ∑(1,3,4,6,8,9,12,13,14)

2.

Obtenga el circuito que resuelve la tabla de verdad anterior empleando un multiplexor de 8 a 1 de tecnología CMOS CD74HC4051E y las compuertas necesarias para su implementación. Obtenga el circuito que resuelve la tabla de verdad anterior empleando un multiplexor de 4 a 1 de tecnología CMOS CD74HC153E y las compuertas necesarias para su implementación. Realice la simulación de ambos circuitos. Imprima ambos diagramas. Arme los circuitos de la actividad previa y del desarrollo en la protoboard.

3. 4. 5. 6.

Material 1 Circuito integrado CD74HC153E 2 Circuitos integrados CD74HC4051E Circuito de leds de la práctica 1 Laboratorio de Sistemas Digitales

28

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Circuito de switches de la práctica 1 Circuitos integrados necesarios para la implementación de los circuitos. Equipo 1 Fuente de CD 1 Generador de Funciones 1 Multímetro 1 Osciloscopio Desarrollo 1.

2. 3.

4. 5.

Arme el circuito diseñado en el punto 2 de las actividades previas considerando que los circuitos integrados son CMOS y por lo tanto deberán alimentarse con una fuente de VDD=10V, además deberán tomarse las precauciones adecuadas para evitar descargas electrostáticas que dañen a los circuitos. Compruebe la tabla de verdad. Arme el circuito diseñado en el punto 3 de las actividades previas considerando que los circuitos integrados son CMOS y por lo tanto deberán alimentarse con una fuente de VDD=10V, además deberán tomarse las precauciones adecuadas para evitar descargas electrostáticas que dañen a los circuitos. Compruebe la tabla de verdad. Arme el sistema mostrado en la figura 7.4

I0 I1 I2 I3 I4 I5 I6 I7

Figura 7.4 6.

7.

Introduzca una señal cuadrada de 1 kHz y 5 V. con niveles TTL (0V. a 5V.) en alguno de los canales de entrada del circuito U1, indicando dicho canal a través de las señales selectoras (A1, B1 y C1) adecuadas, escoja uno de los canales de salida a través de las señales selectoras (A2, B2 y C2) del circuito U2 y verifique con el osciloscopio que la señal de entrada se transfiere a la salida deseada. Repita el proceso para otra entrada y otra salida indicándolas a través de sus entradas selectoras y compruebe como la información es transferida por un único medio físico compartido en tiempo (multiplexación en tiempo).

Cuestionario 1. 2. 3. 4.

Consulte el manual de circuitos de la familia CMOS e indique la función que realizan cada una de las terminales del circuito integrado CD74HC4051E. Porque se tiene una terminal de alimentación de voltaje extra VEE ? Diseñe un sumador de 2 números de 2 bits empleando multiplexores de 8x1. Que se tendría que hacer para que de forma sincrónica la información insertada por el canal 1 salga por el canal 1, la del canal 2 por el canal 2, etc.

Laboratorio de Sistemas Digitales

29

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 8 Unidad aritmético lógica (ALU) Tema 5.4. Circuitos digitales para operaciones aritméticas binarias. 5.7. Unidades Aritmético Lógicas (ALU’s). Objetivos   

El alumno simulará el funcionamiento de un sumador de 4 bits empleando sumadores completos de 1 bit en cascada. El alumno comprenderá el funcionamiento de una unidad aritmético lógica (ALU) de mediana escala de integración. El alumno comprobará las diferentes operaciones que puede realizar la ALU, tanto aritméticas como lógicas.

Introducción En el desarrollo de la electrónica digital los circuitos de compuertas básicas establecieron la estructura para todos los sistemas digitales subsecuentes. A partir de estas bases se comenzaron a crear circuitos de diferentes características, entre los más importantes fueron los circuitos aritméticos que resolvían operaciones matemáticas básicas tales como los medio sumadores (half sum) y los sumadores completos (full sum). Organizando estos elementos en paralelo o en serie se pueden realizar circuitos aritméticos de un mayor número de bits o con diferentes capacidades. El desarrollo de estos circuitos ha llevado a la creación de unidades aritmético lógicas integradas (ALU´s) y al posterior desarrollo de los sistemas de microprocesadores. La Unidad Aritmético Lógica (ALU 74LS181) es un circuito integrado de mediana escala de integración que contiene un aproximado de 75 compuertas básicas. Este circuito realiza un total de 16 operaciones aritméticas con y sin acarreo y 16 operaciones lógicas sobre dos datos de 4 bits denominados dato A (A3, A2, A1 y A0) y dato B (B3, B2, B1 y B0). Para definir si la operación va a ser aritmética o lógica, se emplea la señal de Modo (M), la cual decide cual conjunto de operaciones se va a realizar. La elección de la operación específica dentro de uno de los conjuntos, aritmético o lógico, se realiza a través de las 4 señales de selección (S3, S2, S1 y S0) e incluye la suma (Plus), la resta (Minus), el decremento, la transferencia directa y las operaciones de AND, OR, XOR, NAND, XNOR, etc. La ALU también cuenta con una señal de acarreo del 4º al 5º bit que puede ser empleada para alimentar el acarreo de entrada de la siguiente ALU. En la figura 8.1 se muestran los canales de entrada del dato A, del dato B, de las entradas de selección, el acarreo de entrada, el modo, el dato de salida, el acarreo de salida y otras señales de control. La ALU puede realizar operaciones en cascada en conjunto con otras ALU’s para poder incrementar el largo de palabra.

Laboratorio de Sistemas Digitales

30

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

En esta práctica se realizará la simulación de un circuito sumador completo de 4 bits y además se comprobarán algunas de las operaciones aritméticas y lógicas con la ALU 74LS181 y se comprobarán los resultados teóricos de dichas operaciones.

Figura 8.1 Actividades previas 1. 2. 3. 4.

Diseñe un circuito sumador completo de 4 bits empleando circuitos sumadores completos de 1 bit en cascada. Realice la simulación del sumador de 4 bits. Realice la simulación del circuito de la figura 8.2 siguiendo las indicaciones dadas en el desarrollo. Arme el circuito de la Unidad Aritmético Lógica 74LS181.

Material 1 Circuito 74LS181 1 Circuito 74LS04 Circuito de switches de la práctica 1 Circuito de leds de la práctica 1 Equipo 1 Fuente de CD 1 Multímetro Desarrollo 1. 2. 3. 4. 5.

Arme el circuito mostrado en la figura 8.2, investigue en la hoja técnica de la ALU 74LS181 cuales son las terminales de alimentación de la ALU y conéctelas. Elabore una tabla con 4 pares de valores de 4 bits cada uno, para los datos "A" y "B" y anótelos en la tabla 8.1. Seleccione 4 operaciones lógicas y 4 operaciones aritméticas de acuerdo a la tabla 8.1 y anótelos en las tablas 8.2 y 8.3. Considere que en la tabla de la ALU, el signo (+) indica la operación lógica OR y la palabra (PLUS) indica la operación aritmética de suma así como la palabra (MINUS) indica la resta. Aplique los valores a las líneas de datos "A" y "B" de forma tal que realice las operaciones seleccionadas, checar en el manual los niveles lógicos que deben aplicarse a las terminales M y C n para las operaciones seleccionadas, anótelos en las tablas 8.2 y 8.3.

Laboratorio de Sistemas Digitales

31

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Tabla 8.1 6.

Anote los resultados de las operaciones en las tablas 8.2 y 8.3 considerando que la salida contiene 5 bits y representa el acarreo de salida y la función realizada (Cn+4, F3, F2, F1 y F0), compruebe los resultados realizando las operaciones en papel e integrándolos al reporte.

Figura 8.2 Dato A (4 bits)

Dato B (4 bits)

Selección (4 bits)

Cn (1 bit)

M (1 bit)

Operación

Resultado (5 bits)

Lógicas

Tabla 8.2

Laboratorio de Sistemas Digitales

32

Universidad Nacional Autónoma de México

Dato A (4 bits)

Dato B (4 bits)

Facultad de Estudios Superiores Cuautitlán

Selección (4 bits)

CN (1 bit)

M (1 bit)

Operación

Resultado (5 bits)

Aritméticas

Tabla 8.3 Cuestionario 1. 2. 3.

Empleando circuitos 74LS181, diseñe una Unidad Aritmética y Lógica capaz de operar 16 bits en paralelo y simule su comportamiento. Explique el concepto de acarreo anticipado (Carry Look Ahead) empleado en las ALU´s. Investigar en los manuales de TTL. Investigue la función que tienen las terminales de los pines 14, 15, 16 y 17 del circuito 74181.

Laboratorio de Sistemas Digitales

33

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 9 Contador síncrono para control de motor de pasos Tema 6.7. Aplicaciones de circuitos secuenciales. Objetivos   

Implementar un circuito secuencial que genere las señales de activación de las bobinas de un motor de pasos. Implementar el circuito secuencial, empleando Flip-Flops JK. Comprobar el funcionamiento del circuito en interacción con un motor de pasos de 3 terminales.

Introducción El motor de pasos es un dispositivo eléctrico que requiere un conjunto de señales secuenciales aplicadas en sus bobinas para producir un movimiento rotacional discreto de su eje, su rotor gira un determinado ángulo por cada pulso de entrada, existen motores con 15º por pulso y 24 pulsos para una vuelta y también de 0.9º por pulso y 400 pulsos por vuelta, aunque cada día se va reduciendo el tamaño del paso así como aumentando la potencia del motor. Un motor de pasos puede ser comparado con una serie de electro magnetos o solenoides dispuestos en círculo como se muestra en la figura 9.1.

Bobinas

Bobinas

Figura 9.1 El resultado de este movimiento es un posicionamiento preciso y confiable que puede controlarse de forma directa por un sistema que genere los pulsos digitales con la secuencia, la velocidad y el número suficiente para poder realizar controles de posición, velocidad o sentido de giro. La secuencia de señales necesarias para mover a un motor de pasos de 4 hilos y 3 fases, se muestra en la figura 9.2 en la cual se puede indicar que el sentido de giro depende del orden en que se apliquen las señales, de izquierda a derecha o de derecha a izquierda, en función de la gráfica.

Laboratorio de Sistemas Digitales

34

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Los niveles de voltaje son TTL. V C B A

t Figura 9.2

La velocidad depende de la frecuencia de aplicación de las señales, más frecuencia más velocidad y la posición depende del número de pulsos insertados. En la actualidad podemos encontrar estos motores en, unidades de disco duro, impresoras, robots, máquinas herramientas, juguetes, cámaras, autos y cualquier otra aplicación que requiera una precisión muy alta para el control de velocidad o posición. En la presente práctica se implementará un circuito que genera las señales necesarias para mover al motor de acuerdo al diagrama de estados mostrado en la figura 9.3, considerando que el estado inicial es cero. El motor empleado es un motor de 3 bobinas que serán alimentadas por cada uno de los 3 transistores y un alambre común que será alimentado con 5 VCD.

ABC = 000 Señales del motor de pasos

Figura 9.3 Actividades Previas 1.

2. 3.

4.

Realice el análisis del diagrama de estados a través de la tabla de estados considerando Flip-Flop’s JK con entradas unidas (JK en configuración Toogle), determine los estados siguientes de acuerdo al diagrama e inserte un “no importa” para todos los estados no considerados, obtenga las ecuaciones de excitación y reduzca para obtener el circuito correspondiente. Diseñe el circuito correspondiente. Realice la simulación del circuito secuencial diseñado sin considerar al motor de pasos ya que no se cuenta con un motor de pasos de 3 terminales en las librerías del simulador, por lo tanto solo compruebe el funcionamiento con los leds en las salidas. Arme el circuito diseñado en la protoboard y adicione la etapa de potencia a cada una de las salidas de su circuito como se muestra en la figura 9.4.

Laboratorio de Sistemas Digitales

35

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Material Material necesario para implementar el diseño secuencial Circuito de leds de la práctica 1 3 Resistencias de 56 Ω a ½ W. 3 Transistores TIP31 Equipo 1 Fuente de CD 1 Generador de Funciones 1 Multímetro 1 Motor de Pasos proporcionado por el laboratorio Desarrollo 1.

Arme el circuito diseñado y las etapas de potencia mostradas en la figura 9.4.

Salida A

Reloj

Circuito Secuencial Diseñado

Salida B

Salida C

Figura 9.4 2. 3. 4. 5. 6. 7. 8. 9.

La señal de reloj del circuito secuencial es una señal de cuadrada de 1 Hz. y niveles de voltaje TTL. El motor de pasos tiene 3 terminales de color (naranja, rojo y café) que van conectadas a los 3 colectores de cada uno de los transistores en el orden indicado. El alambre negro del motor se conecta a VCC = 5V. Coloque el circuito de leds en cada una de las salidas del circuito secuencial diseñado y en el reloj del circuito. Identifique la secuencia generada a las salidas del circuito secuencial diseñado a través de los leds. Compruebe que el motor gira de forma continua en la secuencia adecuada. Incremente paulatinamente la frecuencia de la señal de reloj, observe y anote el comportamiento del motor y su velocidad. Identifique la frecuencia máxima del reloj para la cual el motor de pasos aún tiene un funcionamiento adecuado.

Laboratorio de Sistemas Digitales

36

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Cuestionario 1.

2.

Diseñe un circuito de control para el mismo motor de pasos, que contenga una señal de entrada adicional que sirva para invertir la secuencia de conteo de (1 – 2 – 4) a (4 – 2 – 1) con lo cual el motor podría invertir el sentido de giro. Realice la simulación de su diseño con solo los leds de salida.

Laboratorio de Sistemas Digitales

37

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 10 Autómatas finitos con máquinas de Mealy y Moore Tema 6.8. Autómatas finitos. 6.8.1. Máquina de Mealy. 6.8.2. Máquina de Moore. Objetivos   

Diseñar un sumador completo de 1 bit en serie. Comprobar el funcionamiento del circuito sumador serie de 1 bit a través de una máquina de Mealy y una máquina de Moore. Analizar las semejanzas y diferencias que presentan ambos diseños.

Introducción En esta práctica se diseñarán 2 autómatas finitos para solucionar el mismo problema del sumador serie de 1 bit pero desde 2 puntos de vista diferentes, el primer diseño se realizará empleando una máquina de Mealy y el segundo diseño empleando una máquina de Moore. La máquina de Mealy es una máquina de estados finita o autómata finito, donde las salidas del sistema están determinadas por el estado actual y las entradas y donde estas señales de salida se obtienen a través de un circuito combinacional. Esto significa que en el diagrama de estados se debe indicar el estado que tendría la señal de salida para cada elemento de transición. Por ejemplo, en la trayectoria de un estado 001 a un estado 101, si la entrada X es cero y la salida S es uno, se debe poner sobre la transición la etiqueta “0/1” que corresponde a la nomenclatura “X/S” como se muestra en la figura 10.1. 0/1

001

101 Figura 10.1

Por otro lado una máquina de Moore es una máquina de estados finita o autómata finito, donde la salida actual depende solo del estado actual y no depende de la entrada actual. Por lo tanto, los estados de una máquina de Moore producen la definición total del sistema. Por ejemplo, en la trayectoria de un estado 000 a un estado 101 para una entrada X = 1, la salida S es 0 para el estado 000 y la salida S es 1 para el estado 101, considerando que la entrada X = 1 para cambiar de estado se debe poner sobre la transición, figura 10.2. El bit de salida está integrado en el estado y se marca en rojo con el bit menos significativo. X=1

Laboratorio de Sistemas Digitales

38

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

000

101 Figura 10.2

En esta práctica se realizará un autómata finito que pueda sumar 2 números de 1 bit en forma serial y por lo tanto el acarreo de salida de una operación deberá almacenarse en memoria para poder usarlo como acarreo de entrada de la siguiente operación. Diseño con máquina de Mealy Sumador binario serie de 1 bit que está formado por: • Dos entradas binarias A y B de un bit. • Una salida binaria S y un acarreo de salida C

Figura 10.3 Donde se considerará que el sistema solo tiene 2 estados posibles:  

QA = 0 estado de no acarreo QA = 1 estado de acarreo

El diagrama de estados correspondiente se muestra en la figura 10.4

01,10/1

Figura 10.4 Por lo tanto la tabla de estados será la siguiente:

Laboratorio de Sistemas Digitales

39

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Entradas

Estado Actual

Excitación

Estado Siguiente

Salida

A

B

QA

JKA

QA+1

S

0

0

0

0

0

0

0

0

1

1

0

1

0

1

0

0

0

1

0

1

1

0

1

0

1

0

0

0

0

1

1

0

1

0

1

0

1

1

0

1

1

0

1

1

1

0

1

1

Tabla 10.1 Resolviendo el mapa de Karnaugh para la excitación y la salida se tienen las expresiones: • •

𝐽𝐾𝐴 = 𝐴̅ ∙ 𝐵̅ ∙ 𝑄𝐴 + 𝐴 ∙ 𝐵 ∙ ̅̅̅̅ 𝑄𝐴 𝑆 = 𝐴 ⨁𝐵⨁𝑄𝐴

La solución de este sistema produce una ecuación de excitación y una ecuación de salida, las cuales dependen de las entradas y del estado actual. En la figura 10.5 se muestra la implementación de la máquina de Mealy.

Figura 10.5 Diseño con máquina de Moore Sumador binario serie de 1 bit que está formado por: • Dos entradas binarias A y B de un bit. • Una salida binaria S y un acarreo de salida C

Laboratorio de Sistemas Digitales

40

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Figura 10.6 Donde se considerará que el sistema tiene 4 estados posibles debido a que debe considerarse dentro del estado la condición de acarreo y al mismo tiempo la salida correspondiente.    

00 estado de no acarreo y salida 0 01 estado de no acarreo y salida 1 10 estado de acarreo y salida 0 11 estado de acarreo y salida 1

El diagrama de estados correspondiente se muestra en la figura 10.7.

Figura 10.7 Por lo tanto la tabla de estados será la mostrada en la tabla 10.2, el estado actual de la máquina de Moore está formado por el bit de acarreo y el bit de salida (QB = Acarreo y QA = Salida). Resolviendo el mapa de Karnaugh para las excitaciones JKA y JKB se tienen las expresiones:  

𝐽𝐾𝐵 = 𝐴̅ ∙ 𝐵̅ ∙ 𝑄𝐴 + 𝐴 ∙ 𝐵 ∙ ̅̅̅̅ 𝑄𝐴 𝐽𝐾𝐴 = 𝐴 ⨁𝐵⨁𝑄𝐴 ⨁𝑄𝐵

La solución de este sistema produce dos ecuaciones de excitación que dependen del estado actual y las entradas y no existe una ecuación para la salida puesto que dicho valor está contenido en el bit menos significativo de la codificación del estado.

Laboratorio de Sistemas Digitales

41

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán Estado Actual

Entradas

Excitación

Estado Siguiente

QB

QA

A

B

JKB

JKA

QB+1

QA+1

0

0

0

0

0

0

0

0

0

0

0

1

0

1

0

1

0

0

1

0

0

1

0

1

0

0

1

1

1

0

1

0

0

1

0

0

0

1

0

0

0

1

0

1

0

0

0

1

0

1

1

0

0

0

0

1

0

1

1

1

1

1

1

0

1

0

0

0

1

1

0

1

1

0

0

1

0

0

1

0

1

0

1

0

0

0

1

0

1

0

1

1

0

1

1

1

1

1

0

0

1

0

0

1

1

1

0

1

0

1

1

0

1

1

1

0

0

1

1

0

1

1

1

1

0

0

1

1

Tabla 10.2 En la figura 10.8 se muestra la implementación de la máquina de Moore.

Figura 10.8

Laboratorio de Sistemas Digitales

42

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Actividades Previas 1. 2.

Realice la simulación de los circuitos de las figuras 10.5 y 10.8. Realice la reducción de las tablas de estados 10.1 y 10.2 comprobando que son las mismas que las indicadas en la práctica.

Material 1 Circuito 74LS04 1 Circuito 74LS11 1 Circuito 74LS32 1 Circuito 74LS76 1 Circuito 74LS86 1 Resistencia de 1KΩ a ½ W. 1 Push Button normalmente abierto Circuito de leds de práctica 1 Equipo 1 Fuente de CD 1 Generador de Funciones 1 Multímetro Desarrollo 1. 2. 3. 4. 5. 6.

Implemente el circuito de la figura 10.5 Introduzca una señal de reloj de 1 Hz o menos para poder verificar el cambio del circuito. Compruebe la tabla de estados 10.1 inicializando el Flip Flop a cero a través del switch de reset. Implemente el circuito de la figura 10.8 Introduzca una señal de reloj de 1 Hz o menos para poder verificar el cambio del circuito. Compruebe la tabla de estados 10.2 inicializando el Flip Flop a cero a través del switch de reset.

Cuestionario 1. 2. 3. 4.

Investigue la definición formal para una máquina de Mealy y para una Máquina de Moore. Compare el número de estados para la máquina de Mealy contra el número de estados de la máquina de Moore, justifique su comparación. Investigue de forma teórica, cuál de las 2 máquinas es más estable y justifique su respuesta. Describa las ventajas y desventajas de realizar un diseño secuencial empleando cualquiera de las dos máquinas.

Laboratorio de Sistemas Digitales

43

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Laboratorio de Sistemas Digitales Práctica 11 Memorias ROM Tema 7.5. Características de las memorias ROM. Objetivos   

Implementar una tabla de 512 valores de 8 bits que sigan una tendencia creciente y posteriormente decreciente dentro de una memoria EEPROM. Programar una memoria EEPROM con una tabla de 512 datos. Comprobar los procesos de escritura de una memoria EEPROM (Programación) y el proceso de lectura.

Introducción Las memorias EEPROM son memorias que permiten escribir datos binarios dentro de ellas y almacenarlos en forma permanente durante varios años sin tener alimentación eléctrica. El proceso de escritura de dichos datos sobre la memoria sigue un procedimiento especial de señalización electrónica y se hace en una forma más lenta que el proceso de lectura, es por ello que a este proceso de lectura se le denomina programación de la memoria o quemado de la memoria (burning). El proceso de programación se hace de forma esporádica a diferencia del proceso de lectura que se realiza de forma continua y muy rápida, es por ello que a estas memorias se les denomina de solo lectura ya que es la función predominante. Para la programación de estas memorias se requiere editar su buffer en un programa especializado asociado con el dispositivo programador que se va a utilizar para grabarla. Estas memorias tienen 4 buses de señales que se requieren para efectuar tanto el proceso de grabado como el de lectura, estos son el bus de direcciones a través del cual se inserta un valor que identifica a una de las localidades internas, el bus de datos a través del cual se hace la escritura y la lectura del dato binario almacenado en la localidad correspondiente, el bus de control que contiene las señales necesarias para realizar las operaciones de programación y/o lectura, así como la habilitación de la salida de los datos y finalmente el bus de alimentaciones que proporciona la energía necesaria para su funcionamiento.

Figura 11.1

Laboratorio de Sistemas Digitales

44

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

En esta práctica se insertará una tabla de valores correspondientes a un valor binario de 8 bits que crece de 0 a 255 y decrece de 255 a 0 y por lo tanto en la dirección 0 se insertará el dato 00H, en la dirección 1 el dato 01H y así consecutivamente hasta la dirección 255 donde se almacenará el dato FFH a partir de ese punto los datos deben decrecer y en la dirección 256 se insertará el valor FEH, en la 257 el valor FDH y así consecutivamente hasta llegar a la dirección 511 que tendrá el valor de 00H. Con lo cual se utilizarán 512 localidades de la memoria. Estos datos almacenados pueden ser visualizados en forma aleatoria proporcionando un valor binario en el bus de direcciones y obteniendo el dato en el bus de datos. O como lo haremos en esta práctica, visualizaremos los datos en forma secuencial proporcionando la dirección correspondiente a través de un contador de 9 bits que nos permita hacer un recorrido por las 512 localidades y después repetir el ciclo. El conteo se hará a través de un contador CMOS 4040 el cual proporcionará un conteo de 0 a 511 y se aplicará al bus de direcciones de la memoria AT28C16. Actividades Previas 1. 2.

Generar la tabla de 512 valores. Con el programa SUPERPRO editar el buffer de la memoria, insertar los 512 valores y generar el archivo tabla.bin.

Figura 11.2

Figura 11.3

3. 4.

5.

Programar la memoria AT28C16 con el archivo tabla.bin y el programador universal SUPERPRO. Defina las conexiones necesarias entre el contador 4040 y la memoria AT28C16 para poder obtener la información almacenada en las 512 localidades de la memoria, considere que el circuito de conteo debe inicializarse al llegar a 512. Simule e imprima el circuito diseñado.

Laboratorio de Sistemas Digitales

45

Universidad Nacional Autónoma de México

Facultad de Estudios Superiores Cuautitlán

Material 1 Circuito contador binario 4040 1 Memoria EEPROM AT28C16 Circuito de leds de práctica 1 Equipo 1 Fuente de CD 1 Generador de Funciones 1 Multímetro 1 Programador Universal SuperPro Desarrollo 1. 2. 3.

4.

Implemente el circuito diseñado en las actividades previas. Introduzca una señal de reloj de 1 Hz o menos para poder verificar los datos del bus de datos. Compruebe que los datos de la tabla se presentan de forma correcta incrementando el valor binario y después decrementandolo, puede incrementar la velocidad del reloj para observar el efecto de forma más adecuada. Modifique la tabla y el circuito para que la memoria presente el código Gray en 4 bits en forma ascendente y se repita la tabla en forma continua.

Cuestionario 1. 2.

Describa las características de las memorias MROM, PROM, EPROM, EEPROM y FLASH ROM. Investigue los tiempos necesarios para realizar la programación de una localidad de memoria EPROM 2716 en comparación con una memoria AT28C16.

Laboratorio de Sistemas Digitales

46

Suggest Documents