Universidad Nacional de Quilmes

Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO : .................................... T. P. Nro. : CICLO LECTIVO : 2010 II...
2 downloads 2 Views 134KB Size
Universidad Nacional de Quilmes ASIGNATURA :

TECNICAS DIGITALES

CURSO : ....................................

T. P. Nro. :

CICLO LECTIVO : 2010

II

NOMBRE DEL T. P. : COMBINACIONAL II: Decodificadores,

Multiplexor, DeMultiplexor, Comparador, Sumador FECHA DE ENTREGA : .................................................................................. Ing. Alberto Mazzone PROFESOR: ............................................

Federico Guolo AUXILIAR: ..........................................

Nro Grupo. : ............................ INTEGRANTES : ……….................................................................................... ……….................................................................................... ……….................................................................................... ……….................................................................................... ……….................................................................................... ……….................................................................................... ………....................................................................................

Recepción Implementación Experimentación

Fecha de Entrega

Fecha de Vencimiento Fecha de Devolución

OBSERVACIONES: ............................................................................................ …......................................................................................... ............................................................................................. CALIFICACIÓN: ..............................................................................................

Tecnicas Digitales Laboratorio Nro 2 – Combinacional II

1- OBJETIVO GENERAL: Al finalizar la práctica de laboratorio, el alumno conocerá y podrá diseñar, implementar y simplificar circuitos digitales con circuitos integrados.

2- OBJETIVOS ESPECÍFICOS: 1.- Armar tablas de verdad a partir de funciones. 2.- Conocer un decodificador comercial. 3.- Nociones de conexión de circuitos integrados comerciales. 4.- Conocer un Multiplexor y Demultiplexor comercial. 5.- Implementar funciones con MSI. 6.- Conocer un sumador comercial. 7.- Conocer los códigos de los C.I. correspondiente a cada tipo de dispositivo. 8.- Conocer como señalizar las salidas y entradas a los CI. 9.- Conocer como fijar “1” o “0” a las entradas de los circuitos integrados.

3- MATERIALES : Nota: Los materiales que se detallan a continuación son los necesarios para este trabajo practico, muchos se repiten del TP1. No hace falta volver a comprar todo, solo lo que no se compro para el TP1. 1.234567891011121314-

Protoboard. Multímetro (Opcional) Cables (Alambre de cobre aislado. Tipo par telefónico) 10 Resistencia 5K6Ω 1/2Watt. 15 Resistencia 330Ω 1/2Watt. 1 CD4511. 1 CD4051. 1 CD4008. 1 Display 7 segmentos catodo comun. 10 Led rojo 3mm o 5mm. 5 Led verde 3mm o 5mm. 5 Led amarillo 3mm o 5mm. Pinza de punta y alicate. Hojas de dato de CD4511, CD40511, CD4008.

2

4 – PRACTICA SIMULACION 4.1 – Codificadores 8x3. 4.1.1- Arme en el simulador el siguiente codificador y complete la tabla de verdad:

A0 1 0 0 0 0 0 0 0

A1 0 1 0 0 0 0 0 0

A2 0 0 1 0 0 0 0 0

A3 0 0 0 1 0 0 0 0

A4 0 0 0 0 1 0 0 0

A5 0 0 0 0 0 1 0 0

A6 0 0 0 0 0 0 1 0

A7 O0 O1 O2 0 0 0 0 0 0 0 1

4.1.2- ¿Cuantas entradas pueden estar activas a la vez?. Comente que ocurre cuando dos o mas entradas están activas. ¿Explique que es un codificador con prioridades?: ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………...

3

4.2 – Decodificadores. 4.2.1- Arme en el simulador el siguiente decodificador 3x8 y complete la tabla de verdad:

A 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

C 0 1 0 1 0 1 0 1

O0

O1 O2 O3 O4 O5 O6 O7

4.2.2- ¿Cuantas entradas pueden estar activas a la vez?, ¿En alguna combinación hay mas de una salida activa? ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………...

4

4.2.3- Arme en el simulador el siguiente decodificador 4x10 (BCD-Decimal) y complete la tabla de verdad:

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

C 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

O0

O1 O2 O3 O4 O5 O6 O7 O8 O9

4.2.4- ¿Cuantas entradas pueden estar activas a la vez?, ¿En alguna combinación hay mas de una salida activa? ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.2.5- ¿Todas las entradas son validas?, ¿Qué pasa con los 10,11,…15 en BCD? ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

5

4.3 – Decodificadores BCD – 7 segmentos. 4.3.1- Arme un decodificador BCD-7segmentos conectado con un display 7-segmentos: Nota: Recordar que cada uno de los segmentos del display son leds.

4.3.2- Probar las siguientes combinaciones y completar la tabla:

LE

D

C

B

A

1

1

0

0

0

0

0

1

1

0

0

0

1

1

1

1

0

0

1

1

0

1

1

0

1

0

0

1

1

1

0

1

1

0

0

1

1

0

1

1

1

1

Display

4.3.3- ¿Cuales son los números representados?: ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.3.3- Si se quiere representar el código hexadecimal en un display, investigue y proponga un decodificador: ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

6

4.3.4- Para el decodificador propuesto en el punto anterior (4.3.3), complete la tabla de verdad:

a 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 x x x

0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 x x x

0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 x x x

0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 x x x

4.4 – Multiplexor. 4.4.1- Arme en el simulador el siguiente multiplexor:

7

b

c

d

e

f

g

Display

4.4.2- Para el circuito del punto anterior, verifique la tabla y tache la tabla errónea:

C 0 0 0 0 1 1 1 1

B 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1

C 0 0 0 0 1 1 1 1

F (Salida) D0 D1 D2 D3 D4 D5 D6 D7

B 0 0 1 1 0 0 1 1

A 0 1 0 1 0 1 0 1

F (Salida) D7 D6 D5 D4 D3 D2 D1 D0

4.4.3- Modifique el circuito para que tenga una línea de habilitación (EN o enable). Comente como funciona y dibújelo con lápiz en el grafico del punto 4.4.1: Nota: La línea de control enable hace la salida “0” para algún valor lógico. ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.4.4- Piense y explique como con este circuito se puede implementar cualquier función lógica de 3 bits ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

8

4.4.5- De un ejemplo de para que aplicación real se puede utilizar un multeplexor. ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.5 – Demultiplexor. 4.5.1- Arme en el simulador el siguiente demultiplexor:

9

4.5.2- ¿Para que sirve a linea I de demultiplexor? ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.5.3- Para la combinación de 101 de la lineas de control. ¿Cual es la salida activa?. Explique como lo comprobó. ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

4.5.4- Modifique el circuito para que el demultiplexor tenga la línea de control enable. ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ……………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………

10

4.6 – Comparador. 4.6.1- Arme en el simulador el siguiente comparador de 4 bits (o lo pueden bajar del blog Compara.ckt):

4.6.2- Complete en el dibujo las salidas para cuando el comparador sale por A>B , A=B , A

Suggest Documents