Through-focus point-spread function evaluation for lens metrology using the Extended Nijboer-Zernike theory

Through-focus point-spread function evaluation for lens metrology using the Extended Nijboer-Zernike theory J.J.M. Braat*, P. Dirksen**, A.J.E.M. Jans...
Author: Paula Lambert
17 downloads 2 Views 837KB Size
Through-focus point-spread function evaluation for lens metrology using the Extended Nijboer-Zernike theory J.J.M. Braat*, P. Dirksen**, A.J.E.M. Janssen***, S. van Haver* *Optics Research Group, Delft University of Technology, Delft, The Netherlands **Lithography / ***Mathematics group, Philips Research Laboratories, Eindhoven, The Netherlands

Overview •

Point Spread Function analysis and the Extended Nijboer-Zernike theory



Retrieving aberrations



Lithographic applications: retrieving aberrations, diffusion and focus noise parameters.



Microscope analysis



Extension to high-NA imaging: ‘polarisation aberrations’



Summary and references / website Fringe 2005 conference, Stuttgart, 13 September 2005

2

Point spread function δ-function

PSF Lithographic lens, reticle inspection tool, microscope or EUV mirror system.

The ENZ (Extended Nijboer-Zernike theory) provides an analytical description of the PSF and allows the retrieval of lens aberrations and process parameters from the measured PSF Fringe 2005 conference, Stuttgart, 13 September 2005

3

Basic scheme for microscope imaging

Small hole

Objective lens CCD Camera

Focus

Record the through-focus intensity point-spread function

Fringe 2005 conference, Stuttgart, 13 September 2005

4

Experimental through-focus PSF - Focus Elliptical shape that flips through focus indicates astigmatism. Note the ~45degree orientation of the ellipse.

With a little bit of imagination one observes a small amount of trefoil: a ‘triangle’ like deformation

Best focus

+ Focus

What aberration type, low order versus high order, how many mλ? Fringe 2005 conference, Stuttgart, 13 September 2005

5

Intuitive picture of through-focus intensity propagation direction

Exit pupil (wavefront + ‘obstruction’)

through focus

Fringe 2005 conference, Stuttgart, 13 September 2005

6

Diffraction theory of (through-focus) imaging THE DIFFRACTION THEORY OF ABERRATIONS

The old diffraction theories of

PROEFSCHRIFT TER VERKRIJGING VAN DEN GRAAD VAN D OCTOR IN DE W IS- EN NATU URKUND E AAN DE RIJKS-UNIVERSITEIT TE GRONINGEN, OP GEZAG VAN DEN RECTOR MAGNIFICUS Dr. J. M. N. KAPTEYN, HOIOGLEERAAR IN DE FACULTEIT DER LETTEREN EN W IJSBEGEERT E, T EGEN D E BED EN KIN GEN VA N D E FACULTEIT D ER W IS- EN NATU URKUND E TE VERDEDIGEN OP MAANDAG 1 JUNI 1942, DES NAMIDDAGS OM 4.15 UUR PRECIES

- Airy (in-focus, ideal,1835), - Lommel (through-focus, ideal, 1885) - Nijboer (‘in focus’, aberrated, 1942)

DO OR

BERNARD ROELOF ANDRIES NIJBOER GEBOREN TE MEPPEL

arise as special cases of the Extended Nijboer-Zernike theory (A. Janssen, 2002) Fringe 2005 conference, Stuttgart, 13 September 2005

7

Features of the ENZ diffraction theory ♦ Complex pupil function allowed (amplitude and phase) ♦ Both transmission and aberration function are given by the (complex) coefficients of a Zernike expansion ♦ Large defocus allowed (up to ± 8 focal depths) ♦ Scalar version with pathlengths treatment for high NA ♦ Vectorial version developed for high NA Applications: ♦ Linearised inversion scheme available for transmission and aberration function retrieval ♦ Iterative procedure developed for improved retrieval at larger aberrations and transmission defects Fringe 2005 conference, Stuttgart, 13 September 2005

8

Basic expressions

U (r , f ,ϑ )

≈ 2V00 (r , f ) + 2∑ α nm i m +1Vnm (r , f )cos(mϑ ), nm



Vnm (r , f ) = exp(if )∑ ( −2if ) l =1

l −1

Jm + l + 2 j (r )

p

∑v j =0

lj

lr l

In practice: finite source diameter ! Numerical approach: integrate PSF over the finite hole diameter.

Fringe 2005 conference, Stuttgart, 13 September 2005

9

Finite source effect δ - function

Binary Reticle

~ λ/NA Analytic approach: use complex focus parameter

f → f + i.d

d = diameter hole Fringe 2005 conference, Stuttgart, 13 September 2005

10

Aberration retrieval The lens aberrations are obtained from the throughfocus point spread function.

Observed intensity = Measured

∑α

nm

basic -functions (Vnm ) Calculated from theory

Parameters to be retrieved

Fringe 2005 conference, Stuttgart, 13 September 2005

11

Aberration retrieval U (r , θ , f ) ≈ 2V00 + 2∑ α nm i m+1Vnm cos (mθ ), nm

{

}

I (r ,θ , f ) ≈ 4 V00 + 8∑ α nm Re i m +1V00* Vnm cos(mθ ) + ... 2

nm

Drops out !

ψ m = mth − Fourier component of I (r ,θ , f )

{

ψ m = ∑ α nmψ nm with ψ nm = 4 Re i m+1V00* Vnm

}

n

‘Fringes’

Take inner products: (ψ m ,ψ nm' ) = ∑ α nm (ψ nm ,ψ nm' )

a linearised system of equations.

n

Fringe 2005 conference, Stuttgart, 13 September 2005

12

Aberration retrieval & noise mth - Fourier component

ψm

basic intensity functions

{

= ∑ α nmψ nm with ψ nm = 4 Re i m +1V00* Vnm

}

n

Aberration parameter

Retrieval procedure: - match experimental frequency component (ψm) to specific through-focus signatures (ψmn). - only that part of the signal that matches the signature, contributes to parameter value: • fairly noise insensitive • !! be careful with DC-intensity offset Fringe 2005 conference, Stuttgart, 13 September 2005

13

Example: impact noise + Focus

+ Focus

Best focus

Best focus

- Focus

Best focus signal

- Focus

Noise level: > 150 x

Small change in retrieved aberration coefficients: ΔZ~ 10 mλ Fringe 2005 conference, Stuttgart, 13 September 2005

14

Generalizations ENZ theory Various generalizations of the ENZ-theory exist, such as finite hole size, phase and transmission errors, large aberrations, large defocus. ENZ - large defocus used to simulate the imaging properties of a Fresnel zone-lens for a DUV stepper (λ=0.248, NA =0.60)

Application: source metrology by moving to the far-field. Example: quadruple source

NA - lens Fringe 2005 conference, Stuttgart, 13 September 2005

15

Overview •

Point Spread Function analysis and the Extended Nijboer-Zernike theory



Retrieving aberrations



Lithographic applications: retrieving aberrations, diffusion and focus noise parameters



Microscope analysis



Extension to high-NA imaging: ‘polarisation aberrations’



Summary and references / website Fringe 2005 conference, Stuttgart, 13 September 2005

16

Basic imaging scheme for lithographic scanner Small hole

Compared to microscope with CCD: • Recording in photo resist: data comes from many SEM-images • Resist baking and development process • Chromatic aberrations Photo resist

Fringe 2005 conference, Stuttgart, 13 September 2005

17

Record images in photo resist Reticle

Wafer Reference

PSF PSF

0.6 μm @ reticle

1 μm

One exposure: single contour point-spread function Fringe 2005 conference, Stuttgart, 13 September 2005

18

Contours to obtain intensity PSF Focus = 0 Intensity

Low dose

High dose X-axis

The through-focus PSF is constructed from a focusexposure matrix

Fringe 2005 conference, Stuttgart, 13 September 2005

19

Diffusion

During the resist baking, a diffusion process takes place that increases the diameter of the PSF. The ENZ theory can take the diffusion into account.

Fringe 2005 conference, Stuttgart, 13 September 2005

20

Chromatic aberrations λ1 λ2 λ3

Δf = Cc x Δλ

Chromatic aberrations and finite laser-bandwidth cause image blur along the focal axis: the observed DOF is increased. The ENZ approach can take the focus noise into account. Fringe 2005 conference, Stuttgart, 13 September 2005

21

More generalizations ENZ theory ♦

Retrieval of diffusion, chromatic aberrations,

I ( r , f ) ≈ ∑ Z j [ Aerial image ] + σ R2 [ Diffusion ] + σ F2 [ Focus noise ], j

basic diffraction pattern

Aerial image : Vn , mV0*, 0 Diffusion

2

.

2

: −2 V0 , 0 + 4 V1,1 + ...

(

)

2 1 1 Focus noise : − V0 , 0 + Re V2 , 0V0*, 0 + ... 6 3



2 additional terms

Aerial image, diffusion and focus noise: basic intensity functions are known functions with specific ‘fingerprint’. Fringe 2005 conference, Stuttgart, 13 September 2005

22

Parameter extraction: best match

0.0 5

0.1

0.6

-0.1 -0.2

0. 2

-0.4 0

0.05

0.1

0.15

= 34 mλ

Diffusion

= 31 nm

Focus noise

= 195 nm

0. 05

0.1

0.4 0.3

-0.3

Spherical

RMS fit error 1.5 % typical

05 0.

0.5

0.7

0

0.2 0.3 0.4

0.1 0.8

Focus [um]

0.2

Scanner: NA=0.63, λ=193 nm

0.1

0.5 0.6

0.3

0.2

4 0.

0.4

0. 3

0.2

0.25

0.3

Radius [um]

Fringe 2005 conference, Stuttgart, 13 September 2005

23

Aberrations Nominal Detuned

[mλ]

100

50

0 r e h p S

l a ic

m o C

a m it g As

m is t a

T

ee r h

il fo

Fringe 2005 conference, Stuttgart, 13 September 2005

24

50

Big!

Offset + 2 Dt 60

40 30 0

100

200

300

PEB time [s]

400

17 nm in poly silicon

Diffusion length [nm]

Diffusion length [nm]

Diffusion

Small PAG

50 40 30

EUV 157 nm

Standard Low PEB Contact

20 10 0

Fringe 2005 conference, Stuttgart, 13 September 2005

25

Chromatic aberrations Focus noise [nm]

250

σF = 189 +/- 12 nm

200 150

Correlates to laser bandwidth and chromatic aberrations projection lens

100 50 0

All resists, all conditions

Fringe 2005 conference, Stuttgart, 13 September 2005

26

Overview •

Point Spread Function analysis and the Extended Nijboer-Zernike theory



Retrieving aberrations



Lithographic applications: retrieving aberrations, diffusion and focus noise parameters



Microscope analysis



Extension to high-NA imaging: ‘polarisation aberrations’



Summary and references / website Fringe 2005 conference, Stuttgart, 13 September 2005

27

Experimental data microscope objective

• wavelength λ =0.248 μm • NA=0.20 • number of focal planes: 31, with 1 μm increments • low NA-model used, detection on the long-conjugate side with CCD (no diffusion or focal blurring) • corrector-predictor method (5 iterations is sufficient)

Fringe 2005 conference, Stuttgart, 13 September 2005

28

Zernike coefficients (fringe convention) Spherical

Coma 10

6 mλ

Astigmatism 0

4 2

-20

5

0 -2 -4

-40 9

16

25

36

0

7 8 14 15 23 24

5 6 12 13 21 22

Four-foil

Five-foil

Thre-foil 2

0

0

-2



20 0 -2

-4

-20 10

11

19

20

17

18

28

29

26

Fringe 2005 conference, Stuttgart, 13 September 2005

27

29

Corrector-Predictor convergence

Real( β0,0 )

1.15

1.1495

1.149

1.1485 1

1.5

2

2.5

3

3.5 4 # iterations

4.5

5

5.5

Fringe 2005 conference, Stuttgart, 13 September 2005

6

30

Microscope data, NA=0.20, λ=0.248 nm, pinhole diameter = 0.80 μm Focus = -15 [um] 0.01

2

2 0.0

2 0.0

-0.5

0.01

0

0.0 2

0.5

0.0 2

0.0 4

02 0.

1

02 0.

1.5

0.0 1

0.02

1 0.0

0.01

The following sheets show a throughfocus series of the PSF for the low-NA microscope and a fit to the data to demonstrate the capabilities of the predictor-corrector method. The results after 5 iterations are shown (more than sufficient).

-1

02 0.

-1.5

0.0 1

-2

01 0.

0.02

0.01

-2.5 -2

-1

0

1

2

The focus values range from –15 microns … + 15 microns in steps of 1 micron (11 out of 31 pictures shown). Solid lines: raw experimental data, dashed lines fit using predictor-corrector.

Fringe 2005 conference, Stuttgart, 13 September 2005

31

Focus = -9 [um]

0.04 4 0.0

0. 01

0.0 1

-1.5

0.0 2

-2

-1.5

-1

-0.5

0

0.5

0.0 1

-1.5

0.01

-2

0.07

-1

1 0.0

0.02

1

1.5

0.1

04 0.

0.04

0.07

0.0 7

-0.5

0.07

-1

0 2 0.0 0.01

04 0.

0.04

0.02

0.01

1 0.0

0.02

-0.5

0.04

4 0.0

0.1

0.04 0.0 2

0.02

2

-2

-1.5

0.01

0.5

0.5 0

1

0. 02

0.04 0.07

-1

0.04

02 0.

0.02

-0.5

0.0 1

02 0.

1

0.02

0. 02

0.07 0.04

1 0.0

0.0 1

0.0 1

0.01

1.5

0. 1

1.5

0.01 0.02

0. 01

2

0.0 2

Focus = -12 [um]

0

0.01 0.5

1

1.5

2

Solid lines: raw experimental data, dashed lines fit using predictor-corrector

Fringe 2005 conference, Stuttgart, 13 September 2005

32

Focus = -3 [um]

0.01

-1

-1.5

-1

-0.5

0

0.5

1

1.5

-1

00.1.07 2 0.0

0.02

-0.5

0

02 4 0. 0 . 0

0.01

0.2 0.1 5 0.04

0.0 1

-1.5

0.5 0.6

0 0..4 3 0.1 0.2 5 0.1 0.07

0.0 1

0. 04 0.1 0.5 2 0.4

0.01

0.02

0.4

0.1

0.3

2

0. 07

0.0

0.01

0.15

0.0 4

0.0 1

0.0 2

2 0.0

0.0 0.04 2

3 0.

-0.5

7 0.0

0.0 1

0.1 0.07

0.1

0.04

0.0 7

0.1 5

0.04 2 0.0 0.1 0 7 0.15 .07 0.0 0.3 0.2 0.5 0 0 .4 0.7.6

0.1

0

1 0.0

-1

0.5

2 0.0

2 0.

4 0.0 0.02

1 0.0

-0.5

0.2

0

4 0.0 0.07 0.1

0.5

7 0.0 1 0. 5 .1 0 0.2 0.3

0.0 1

0.02

0.01 2 0.0 0.04

1 0.0 04 0.

0.0 2

0.02

1

1 0.0

1

0. 01

0.01 2 0.0 0.04

0.8

0.01

0.02

Focus = -6 [um]

0.01

0.5

1

Solid lines: raw experimental data, dashed lines fit using predictor-corrector

Fringe 2005 conference, Stuttgart, 13 September 2005

33

Focus = 0 [um]

Focus = 3 [um]

3 0.

0.1 0.07

0.2 0.0 0.01.15 4 0.07

0.0 1

0.0 1

0.0 2

0.01.1 5

0.7 0.6 0.40.5

0 0 .5 0.2.3 0.1 0.0 0 1 .02

0.01

0.01

0

0.0 2

0.02 0.04 7 0.0 0.15 0.2 2 0. 4 0.

-0.5

0.01

-1

0.04

0.0 2

0.02

2 0.0

0.01

-1.5

0.01

-1

0.4 3 0.

-1.2

2 0.0

0.01

-1

0.01

0.07

-0.8

-0.5

0 0.07 .04 0.1 0 0.3 .15 2 . 0 0.6

4 0.0

0.04

-0.6

0.3 0.4 0.105.2 0.004.07 0.1 0.02 0.01

15 7 0. 0.0 4 0.0

1

0. 0 01.0 2

0.8 0.7

0

0.0

-0.4

0.5

0.02

-0.2

0..65 0

0.1

0.01

0

0. 0.0 0. 04 1 1

0.3 0.6

0.2

0.15 0.2 0.3 0.5 0 0 .4 0.7 0.8 .6 0.9

1 0.0

0. 01

02 0. 7 0 0.

0.4

00.0.01 2 0.1 0. 5 0.4 2

0

1 .0

0.04 0.07

0.6

0.0.01 02 4 .0 0 .07 0.1 0

0.01 0.02

0.01 .0 0 2

1

0.01

0.8

0.5

0.01

0.5

1

-1

-0.5

0

0.5

1

Solid lines: raw experimental data, dashed lines fit using predictor-corrector

Fringe 2005 conference, Stuttgart, 13 September 2005

34

0.01

-1

-0.5

0.5

0.1

0. 07

0.04

0.0 0.0 2 1

-1.5 1 0.0

0

0.07

0.07

4 0.0

0.01

-1.5

0. 04

0.1

-1 0.02

-1.5

0. 02

2

0.0 1

0.0 1 0. 02

0.02 0.01

-0.5

0.1

0.0

0.02

0. 15

0.02

0.5

01 0.

0. 0.1 0.0 0 1 0.02 .04 07

4 0.0

0

0.4 0.3

0.04 0.07 0.1

0.1

0.1 5 0.1 3 0.

0.15

7 0.0 0.04

0. 2

1

0.04

0. 2

-0.5

-1

0.0 2

0.0 7

4 0.0 0.07 0.1 0.1

0.01

0

0.04

1 0.0

0.5

0.02

01 0.

0.0 2

1

0.01

0.01 2 0.0

1.5

0.07

1 0.0

0.04

1.5

Focus = 9 [um]

0.07

Focus = 6 [um]

2 0.0 0.01

-2 1

1.5

-1.5

-1

-0.5

0

0.5

1

1.5

Solid lines: raw experimental data, dashed lines fit using predictor-corrector

Fringe 2005 conference, Stuttgart, 13 September 2005

35

Focus = 15 [um]

Focus = 12 [um]

0.0 2

0.0 2

0.01

0.02

0.0 4

-0.5

0

-2

-1.5

-1

-0.5

0

0.0 1

-2

0.02 0.01

-2.5

0.01

-2.5

0.02

0.0 2

-1.5 1 .0

0.02

04 0.

0

-1

0.02

-2

0.5

1 0.0

0.0 0. 1 02

0.04 4 0.0

-1 -1.5

1

0.07

0.01

7 0.0 4 0.0

0.02 01 0.

-0.5

0.04

0. 02

0.5

1

1.5

2

1 0.0

0.5

0.02

1.5

-2

-1

0.0 2

0.04

1

0

0.0 4

0.0 1

01 0.

0.01

0.01

2

2

1.5

0.02

2.5

0.0 1 0.0 2

0.0

0.01

0.0 1

2

0

1

2

Solid lines: raw experimental data, dashed lines fit using predictor-corrector

Fringe 2005 conference, Stuttgart, 13 September 2005

36

Color pictures (1)

Fringe 2005 conference, Stuttgart, 13 September 2005

37

Color pictures (2)

Fringe 2005 conference, Stuttgart, 13 September 2005

38

Cross sections (1)

Fringe 2005 conference, Stuttgart, 13 September 2005

39

Cross sections (2)

Fringe 2005 conference, Stuttgart, 13 September 2005

40

Summary microscope data A good data fit is obtained for all 31 focus values (11 pictures shown). Some numbers on the quality of the experimental data fit (for all focus values, all (X,Y) points): Maximum absolute error

2.2 %

Standard deviation (1σ)

0.36 %

Mean error

0.23 %

Fringe 2005 conference, Stuttgart, 13 September 2005

41

Overview •

Point Spread Function analysis and the Extended Nijboer-Zernike theory



Retrieving aberrations



Lithographic applications: retrieving aberrations, diffusion and focus noise parameters



Microscope analysis



Extension to high-NA imaging: ‘polarisation aberrations’



Summary and references / website Fringe 2005 conference, Stuttgart, 13 September 2005

42

Retrieval at high-NA (vector diffraction) Vector components of EM-field in the focal region are needed ⎛ rx −if 2 E (r ,ϕ ; f ) = −iγ s0 exp ⎜ ⎜ 1 − 1 − s2 0 ⎝

⎞ ⎟ ∑ i m β nm , x exp(imϕ ) × ⎟ n, m ⎠

⎛ m s02 m ⎞ s02 m ⎜ Vn ,0 + Vn ,2 exp(2iϕ ) + Vn , −2 exp(−2iϕ ) ⎟ 2 2 ⎜ ⎟ 2 2 ⎜ is0 m ⎟ is0 m V i V i ϕ ϕ exp(2 ) exp( 2 ) − + − n ,2 n , −2 ⎜ ⎟ 2 2 ⎜ ⎟ m m −is0Vn ,1 exp(iϕ ) + is0Vn , −1 exp(−iϕ ) ⎜ ⎟ ⎜ ⎟ ⎝ ⎠ A comparable expression holds for the y − polarization component (entrance pupil). Each aberration term creates its own Vnm, k exp(ikϕ ) with k = 0, ±1, ±2. General illumination mode (coherent) in entrance pupil: r r r E0 = aex + bey (uniform illumination)

Fringe 2005 conference, Stuttgart, 13 September 2005

43

High-NA vector diffraction Exposure of resist or integrated detector current are proportional r2 to the EM energy density, that itself is proportional to E . r2 rx ry 2 rx ry rx ry ∗ E = a E + b E = aEx + bEx aEx + bEx rx ry rx ry rx ry ∗ + aE y + bE y aE y + bE y + aEz + bEz

(

( )(

)( ) (

)

)(

rx ry aEz + bEz

). ∗

Some special cases for incident polarization (normalized): r a = 1, b = 0 : linearly polarized light, Einc = aex a = 0, b = +i :

left-handed circularly polarized light, etc. Fringe 2005 conference, Stuttgart, 13 September 2005

44

Retrieval at high-NA State of polarization in the exit pupil depends on: a) lens properties (NA), accounted for in forward-calculation scheme b) birefringence ('scrambling' of polarization state) Ad b): ⎛ Ex , j ⎞ ⎛ m11 m12 ⎞ ⎛ a j ⎞ ⎟=⎜ ⎜ ⎟⎜ b ⎟ E ⎝ y , j ⎠ ⎝ m21 m22 ⎠ ⎝ j ⎠ Special case (only phase retardation, no differential absorption): ⎛ Ex , j ⎞ ⎛ m11 ⎜ ⎟=⎜ ∗ ⎝ E y , j ⎠ ⎝ − m12

m12 ⎞ ⎛ a j ⎞ ⎟⎜ ⎟ m11∗ ⎠ ⎝ b j ⎠ Fringe 2005 conference, Stuttgart, 13 September 2005

45

Retrieval at high-NA With the property m11 + m12 = 1, we have to retrieve three independent 2

2

quantities for a complete characterization of the birefringent properties of the optical system. Including the 'isotropic' geometrical properties of the lens (wavefront aberration and transmission function), four (4) retrieval steps are needed for a full reconstruction of the lens function! Mathematics for the vector diffraction case are rather intricate but basically follow the same retrieval scheme as for the scalar case. Final result: a) geometrical aberration and transmission function b) variation of birefringence over exit pupil c) varying azimuth of polarization eigenstates over exit pupil J.J.M. Braat, P. Dirksen, A.J.E.M. Janssen, S. Van Haver, A.S. van de Nes, “Extended Nijboer-Zernike approach to aberration and birefringence retrieval in a high-numerical-aperture optical system,” to be published in J. Opt. Soc. Am. A, December 2005. Fringe 2005 conference, Stuttgart, 13 September 2005

46

Summary ♦ We have introduced a semi-analytic method to accurately calculate the intensity distribution in the focal volume; the complex Zernike coefficients represent the systems defects ♦ The method can be extended to high-NA systems using a vector diffraction model ♦ The inverse problem, ‘getting the Zernike coefficients’, is solved by using a linearised version of the Extended NijboerZernike intensity. An iterative procedure improves the accuracy. Practical limit: Strehl intensity > 0.30 ♦ Focus blur and chromatic lens effects are incorporated Fringe 2005 conference, Stuttgart, 13 September 2005

47

Summary (continued) ♦ The inverse vector diffraction method is capable of retrieving the ‘polarisation aberrations’. Although leading to a rather intricate system of equations, the first retrieval operations with ‘synthetic’ data were successful ! So far, highNA retrieval using experimental data has been limited to illumination with unpolarized light (NA=0.85, λ=193nm). Further research ♦ High-NA (n>1) experimental retrieval for lithography ♦ ENZ forward calculation for reticle optimisation in lithography Fringe 2005 conference, Stuttgart, 13 September 2005

48

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10.

A. J. E. M. Janssen, ‘‘Extended Nijboer–Zernike approach for the computation of optical point-spread functions,’’ J. Opt. Soc. Am. A 19, 849–857 (2002). J. J. M. Braat, P. Dirksen, and A. J. E. M. Janssen, ‘‘Assessment of an extended Nijboer-Zernike approach for the computation of optical point-spread functions,’’ J. Opt. Soc. Am. A 19, 858, 2002 P. Dirksen, J. J. M. Braat, A. J. E. M. Janssen, and C. Juffermans, ‘‘Aberration retrieval using the extended Nijboer–Zernike approach,’’ J. Microlithogr. Microfabr. Microsyst. 2, 61–68 (2003). J. J. M. Braat, P. Dirksen, A. J. E. M. Janssen, A. van der Nes, “Extended Nijboer–Zernike representation of the vector field in the focal region of an aberrated high-aperture optical system”, J. Opt. Soc. Am. A, Vol. 20, No. 12/December 2003 P. Dirksen, J . J. M. Braat, A . J. E. M. Janssen, A. Leeuwestein, H. Kwinten, D. Van Steenwinckel, “Determination of resist parameters using the extended Nijboer-Zernike theory”, Proceedings of the SPIE, Vol. 5377, 2004 A. J. E. M. Janssen, J. J. M. Braat, P. Dirksen, “On the computation of the Nijboer-Zernike aberration integrals at arbitrary defocus”, J. of Optics. A: Pure and Applied Optics M. Dissel, P. Dirksen, C. Juffermans and H. Kwinten, “Monitoring the optical system of a Scanner”, Interface 2003. D. Van Steenwinckel, J. H. Lammers, “Enhanced Processing: Sub-50nm features with 0.8 micron DOF using a binary reticle”, Proc. SPIE Vol. 5039, 2003, 225 D. Van Steenwinckel, M. Shimizu, J. H. Lammers, “Impact of Photoresist Formulation on DOF and Resolution Improvements, in Advances in Imaging Materials and Processes”, Eds. H. Ito, P. R. Varanasi, M. M. Khojasteh, and R. Chen, Society of Plastics Engineers, 2003, p. 149-158. B. Tollkühn, A. Erdmann, J. Lammers, C. Nölscher, A. Semmler, “Do we need complex resist models for predictive simulation of lithographic process performance?”, Proceedings of the SPIE Vol. 5376, 2004, p. 983

Fringe 2005 conference, Stuttgart, 13 September 2005

49

References

www.nijboerzernike.nl

Fringe 2005 conference, Stuttgart, 13 September 2005

50

Suggest Documents