Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction

Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Qunzeng Liu and Sachin S. Sapatnekar Department of Electrical and Comput...
Author: Rebecca Watson
7 downloads 1 Views 2MB Size
Synthesizing a Representative Critical Path for Post-Silicon Delay Prediction Qunzeng Liu and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota

Variations in Digital Circuits Variations in nanoscale technologies



These lead to circuit performance deviations

Poly

1.4 1.3

30%

Intel

1.2

Normalized Frequency



1.1

5X

1.0

Diffusion

0.9

S. Tyagi

1

2

3

4

Normalized Leakage



Timing PDF



Power PDF

5

– Process: across-die/withindie – Environment: T, Vdd 2

Design Phase: Pre-Silicon Pre-Silicon Analysis

Pre-Silicon Optimization

Deterministic/Statistical Timing/Power Analysis …

Synthesis Gate Sizing …

Statistical Timing Analysis result

Statistical Power Analysis result

3

Statistical Static Timing Analysis (SSTA) • Spatial correlation 1

2

3

• Canonical form

d c = μ c + aT p + Rc

p ~ N (0, I ) 4

Design Phase: Post-Silicon Post-Silicon Optimization

Post-Silicon Analysis

• Delay Analysis,

• Adaptive Body Bias(ABB),

• Design-Silicon Correlation,

• Adaptive Voltage Scaling (AVS), …



[amd.com]

5

Adaptive Body Bias (ABB)

[Tschanz et al., JSSC02]

6

Limitations of Critical Path Replica (CPR) • Only the nominal critical path is replicated • Numerous near-critical paths in modern VLSI circuits • Nominal critical path not necessarily critical in the manufactured die (process variations)

•Representative Critical Path (RCP) •Always predicts the worst case delay

7

Outline

8

Problem Formulation Circuit with Gaussian process parameter variations Original Circuit

dc

RCP

dp

Representative Critical Path (RCP) should be related to the original circuit

Build the RCP to reveal most information about the original circuit. 9

Mathematical Formulation From SSTA (Pre-Silicon)

Our goal:

From measurement data (Post-Silicon) Conditional PDF

ρ Maximum

Minimum

10

Full Correlated Case

Fully Correlated

d c − μ c = k (d p − μ p )

an a1 a2 = =Λ = =k b1 b2 bn

11

Outline

12

Representative Critical Path (RCP) Synthesis (Method I)

Maximum improvement

Maximum improvement

13

Comments on Method I • Advantage – Guaranteed to do no worse than CPR – Exact solution when there is clearly one dominating path

• Drawback – Flexibility of the solution is limited

• Runtime: O(Ks) – Saved by only updating the SSTA results of stages adjacent to the one sized up – K: number of iterations – s:number of stages

14

RCP Generation (Method II)

ρs ρs−1

ρ2

ρ1

ρ0

Max

15

Comments on Method II • Advantage – More flexibility, not tied to a specific path

• Drawbacks – No exact solution when there is only one dominating path – Not guaranteed to be always better than CPR

• Runtime: O(kcs) – k: number of starting locations – c: number of choices for each iteration – s: maximum number of stages

16

Outline

17

Comparison Metric • Average error, maximum error w.s.t. Monte-Carlo analysis

Guard band

• Guard band 18

Experimental Results (Method I)

19

Scatter Plots (Method I)

20

Experimental Results (Method II)

21

Number of Paths vs. Delay

25

80 60 40 20 0 0

# Paths vs. Delay for delay optimized s9234 30

num ber of paths

n u m b e r o f p a th s

# Paths vs. delay for delay optimized s13207 100

20 15 10 5

500 1000 delay (ps)

0 0

200

400 600 delay (ps)

800

22

Conclusion and Future Work • Two novel methods for synthesizing a representative critical path under process variations are presented • Average prediction error: below 2.8% • To ensure 99% of the predictions pessimistic, requiring guard band 30% smaller than CPR • Future work – Test on real silicon

23

Thank you!

24

Extra Slides

25

Scatter Plots (Method II) s35932 by Critical Path Replica

500

500

450

450

predicted delay (ps)

predicted delay (ps)

s35932 by Method II

400 350 300 250 250

300

350 400 true delay (ps)

450

500

400 350 300 250 250

300

350 400 450 true delay (ps)

500

26

An Example RCP (Method II) RCP for s38417

y direction

590

0 0

590 x direction 27

ρ vs. iteration number (Method II) Correlation coefficient trend for s38417

Correlation coefficient

1 0.95 0.9 0.85 0.8 0.75 0.7 0

10

20 30 Iteration

40

50

28

Equations for Editing ⎛ ⎡ μ c ⎤ ⎡ σ c2 aT b ⎤ ⎞ ⎡ dc ⎤ ⎜ ⎢ ⎥, ⎢ ⎟ ~ N ⎢d ⎥ 2 ⎥⎟ T ⎜ μ a b σ p ⎥ ⎣ p⎦ ⎦⎠ ⎝ ⎣ p ⎦ ⎢⎣

σ = b b +σ 2 p

μ = μc +

ρ=

T

aT b

σp

(d

pr

2 Rp

− μp )

d c = μc + aT p + Rc

d p = d pr

dc

T ⎛ a b ⎞⎟ ⎜ σ = σ ⎜1 − ⎟ ⎝ σ cσ p ⎠ 2

2 c

σ c2 = a T a + σ R2 d p = μ p + bT p + R p c

(

d c | (d p = d pr ) ~ N μ , σ 2

)

d p = μ p + bT3 p + R p

aT b1

σ c b12b1 + σ R2

p

29

Parameter Variations Parameter Variations

σ Use the Grid-Based Correlation Model ([Chang, ICCAD03])

30

Suggest Documents