High Energy Density All-Solid-State Batteries: A Challenging Concept Towards 3D Integration**

FULL PAPER DOI: 10.1002/adfm.200701245 High Energy Density All-Solid-State Batteries: A Challenging Concept Towards 3D Integration** :: By Loı c Bag...
Author: Guest
3 downloads 0 Views 441KB Size
FULL PAPER

DOI: 10.1002/adfm.200701245

High Energy Density All-Solid-State Batteries: A Challenging Concept Towards 3D Integration** :: By Loı c Baggetto, Rogier A. H. Niessen, Fred Roozeboom, and Peter H. L. Notten*

Rechargeable all-solid-state batteries will play a key role in many autonomous devices. Planar solid-state thin film batteries are rapidly emerging but reveal several drawbacks, such as a relatively low energy density and the use of highly reactive metallic lithium. In order to overcome these limitations a new 3D-integrated all-solid-state battery concept with significantly increased surface area is presented. By depositing the active battery materials into high-aspect ratio structures etched in, for example silicon, 3D-integrated all-solid-state batteries are calculated to reach a much higher energy density. Additionally, by adopting novel high-energy dense Li-intercalation materials the use of metallic Lithium can be avoided. Sputtered Ta, TaN and TiN films have been investigated as potential Li-diffusion barrier materials. TiN combines a very low response towards ionic Lithium and a high electronic conductivity. Additionally, thin film poly-Si anodes have been electrochemically characterized with respect to their thermodynamic and kinetic Li-intercalation properties and cycle life. The Butler-Vollmer relationship was successfully applied, indicating favorable electrochemical charge transfer kinetics and solid-state diffusion. Advantageously, these new Li-intercalation anode materials were found to combine an extremely high energy density with fast rate capability, enabling future 3D-integrated all-solid-state batteries.

1. Introduction Small-sized integrated batteries will supply energy to numerous wireless autonomous devices, such as small medical implants, hearing aids, integrated lighting solutions and many others. Nowadays approximately one half of the volume of medical implants is used for energy storage in the form of batteries. As the size of most of these devices is expected to become of the order of 0.1 cm3, it is evident that a high level of integration will be required in the near future. As a [*] Prof. P. H. L. Notten, L. Baggetto Department of Chemical Engineering and Chemistry Eindhoven University of Technology Den Dolech 2, 5600 MB Eindhoven (The Netherlands) E-mail: [email protected] Prof. P. H. L. Notten, Dr. R. A. H. Niessen Philips Research Laboratories, High Tech Campus 4 5656 AE Eindhoven (The Netherlands) Prof. F. Roozeboom NXP Semiconductors Research, High Tech Campus 4 5656 AE Eindhoven (The Netherlands) Prof. F. Roozeboom Department of Applied Physics Eindhoven University of Technology Den Dolech 2, 5600 MB Eindhoven (The Netherlands) [**] We gratefully thank Jeroen van Zijl, Jan Verhoeven, Harold Roosen, Tiny den Dekker, Monique Vervest, Hetty de Barse, Monja Kaiser, Thuy Dao, Peer Zalm and Frans Schraven for their technical and analytical support. This work has been financially supported by the Dutch Science Foundation SenterNovem.

Adv. Funct. Mater. 2008, 18, 1057–1066

consequence, conventional rechargeable battery technologies are not very attractive, as miniaturization becomes highly problematic. In addition, conventional batteries contain liquid electrolytes, which are based on highly volatile and flammable organic solvents. In order to improve the safety and to prevent the risk of electrolyte leakage, of particular importance for in vivo applications, replacing the liquid by a safer and stable solid-state electrolyte is a stringent requirement. Up until now solid-state-based power sources are produced in small quantities and in an exclusive planar geometry. Planar thin-film solid-state Li-ion batteries have been thoroughly investigated by Bates et al. [1–3] Using Physical Vapor Deposition techniques (PVD), such as RF-sputtering and evaporation, current collectors, anode and cathode materials, as well as solid-state electrolytes had been successfully deposited, resulting in solid-state planar batteries. Currently, several companies are manufacturing these batteries.[4–10] However, these devices exhibit several drawbacks. The use of extremely reactive metallic lithium anodes requires an expensive packaging technology. Moreover, pure lithium is highly volatile and melts at about 181 8C, a temperature usually lower than that applied during the re-flow soldering process, widely used in the electronic industry. Furthermore, due to the planar configuration, a relatively low volumetric energy density of about 50 mAh per micron cathode material thickness and per cm2 footprint area, i.e. 50 mAh mm1 cm2, has been achieved.[4–10] Recently, Long et al. presented an interesting review, describing various existing ideas to come to new architectures and technologies in the field of 3D miniaturized energy storage

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

1057

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

1058

systems.[11] One of the approaches consisted of filling perforated silica-based substrates with a current collector, cathode, electrolyte and anode materials.[12–14] Microscopically perforated substrates were used to increase the active surface area, thereby enhancing the battery capacity by one order of magnitude. The materials were deposited by combined electrolytic methods and a series of spinning and vacuum impregnation steps. This type of battery can reversibly be operated in a potential window of 1.2–2.2 V and is capable of delivering about 1 mAh cm2. This method can indeed be attractive in view of its potentially low-cost production technologies but have potential drawbacks, resulting from the liquid electrolyte system and the lower average potential at which these batteries operate, reducing its power density significantly. In addition, size reduction and hence device integration will become difficult when large-scale manufacturing is envisioned. Another interesting approach was based on a completely different architecture and technology, referred to as 3D nanoscopic battery.[11] Ultra-thin nm-sized polymer separators acting as electrolyte were electro-deposited onto a highly structured, ambi-gel cathode material, such as manganese oxide.[15,16] The structure was then soaked into a liquid electrolyte in order to incorporate the required Li-salt into the polymer, providing ionic conductivity. To complete the battery, the structure has to be filled with an interpenetrating anode, a step that was proposed to consist of cryogenic deposition of the active anode material. The control of the ambi-gel synthesis seems to be delicate, dictating the architecture of the high surface area 3D cathode network to a large extent. In order to avoid electrical short-circuiting, the extremely thin electrolyte should be conformal and pinholefree deposited, which also seems to be quite challenging. This interesting work is in progress and its viability has not been proven yet.[15,16] An alternative approach has recently been proposed by the present authors.[17,18] The concept of 3D-integrated allsolid-state batteries is based on mature etching methods to enlarge the surface area and step-conformal deposition technologies, such as Low Pressure Chemical Vapor Deposition (LPCVD) and Atomic Layer Deposition (ALD). The etching and deposition methods are nowadays widely used in the micro-electronic industry and 3D-integrated batteries can be considered as the advanced successor of the already existing 3D-integrated capacitors.[17–19] It has been concluded that the stored energy density in the case of integrated batteries can be more than 3 orders of magnitude higher than that of integrated capacitors.[18] This new battery concept relies, on the one hand, on the need of inert Li-diffusion barrier layers to prevent Li-ion penetration into the inactive parts of IC’s and, on the other hand, on new highly active, high energy dense, Li-intercalation materials, such as Si, liberating these devices from metallic Li. Since no detailed information on Li diffusion barrier layers is currently available in the open literature, this paper will first focus on promising barrier layers. Li-ion intercalation in Si

www.afm-journal.de

anodes, on the other hand, has been addressed several times during the last few years. Recently, an interesting review on bulk and thin film, silicon-based, insertion anodes has been published.[20] According to this review, the main focus of many research groups is to study these anode materials with respect to their insertion mechanisms, phase transitions and electrochemical cycle life. However, the thermodynamics and kinetics of thin film electrodes has not been extensively addressed up till now and will therefore be treated in the present paper. In addition, the favorable influence of a solid-state electrolyte on the cycle-life performance of thin-film Si-anodes is shown together with Transmission Electron Microscopy (TEM) and Scanning Electron Microscopy (SEM) results, highlighting the impact of the Solid Electrolyte Interface (SEI) on the anode cycle-life. Finally, the aimed battery concept and what can be achieved with 3D-integration will be presented.

2. Battery Concept Figure 1 shows a schematic representation of a 3D-integrated all-solid-state battery in a trench configuration.[17,18] This system is based on the intercalation of lithium ions as energy carrying particles and relies on the combination of various recent developments: (i) 3D etching of a silicon substrate in order to create high-aspect ratio structures; (ii) barrier layer technology, to effectively shield the silicon substrate from the battery stack and (iii) the use of novel high energy density electrode materials. The integrated battery concept (Fig. 1) consists of a thin-film current collector (a) covering a single crystal Si substrate (b). A large surface area is obtained after anisotropic etching of the Si substrate. Subsequently, the active battery layers are deposited inside this highly structured substrate, starting with a diffusion barrier layer (c) to protect the substrate from Li penetration, followed by a Si anode thin film of about 50 nm (d), a solid-state electrolyte (e) and a LiCoO2 cathode thin film with a thickness of the order of 1 mm (f). Deposition of a second current

Figure 1. 3D integrated all-solid-state battery to be applied as energy supply unit to power autonomous devices. The various layers, denoted from (a) to (g), are deposited in high-aspect ratio trenches etched in, for example, silicon. The geometry is characterized by the footprint length (L), footprint width (l), and trench width (w), depth (d) and spacing (s).

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

Adv. Funct. Mater. 2008, 18, 1057–1066

collector (g) completes the 3D integrated battery. The large thickness difference between the two electrodes results from the fact that silicon has a very high volumetric energy density (about 8300 mAh per cm3 of starting Si material) compared to that of lithium cobalt oxide (about 540 mAh cm3 or 54 mAh mm1 cm2). During charge, the lithium ions are extracted from the LiCoO2 electrode and transported via the solid-state electrolyte to the silicon anode where they are intercalated. During discharge the opposite process takes place. Defining, for example, the dimensions of the 3D-trench structure in Fig. 1, such as width (w), depth (d), spacing between these structures (s) and the characteristics of the above-mentioned electrode and electrolyte materials, the surface area enlargement (A) can be calculated according to

A ¼ 1 þ 2d

Ls Lðw þ sÞ

(1)

where L represents the footprint length (Fig. 1). For pores this number is calculated to be even higher than for trenches (equation not presented here). The width of a trench should comprise at least two times the thickness of the individual layers. Using a 1 mm thick cathode and electrolyte layer, a 50 nm thick anode and current collectors layers, the trench width amounts to about 5 mm. Using a spacing distance which ensures mechanical stability of the structure, for instance 5 mm, it can be easily calculated that a trench depth of 135 mm allows an area enlargement of 28. The corresponding aspect ratio, the ratio between the depth and the width of the structure, then amounts to 27. Using standard etching technology, this enhancement factor of 28 can easily be accomplished.[19,21] This surface area enlargement and the given energy density of the active materials will result in 3D-integrated batteries with an energy density of approximately 1.5 mAh mm1 cm2. With an operating voltage of about 3.5 V (LiCoO2 operates around 4 V and Si at about 0.5 V),[17,18] a single-sided processed device is therefore expected to deliver about 5 mWh mm1 cm2 with an expected power capability in the range of 0.5 to 50 mW mm1 cm2, using 0.1 and 10 C currents, respectively (1 C-rate is defined as the current required to (dis)charge the battery in 1 hour). Exploring the etching process beyond standard technology will further increase these figures significantly. Obviously, double-sided processes will increase these numbers even further (see table of content artist impression).

energy-density anode intercalation materials. Promising new results of planar Li-diffusion barrier layer materials will be discussed. Ta, TaN and TiN films have been selected as suitable candidates. Subsequently, some electrochemical characteristics of LPCVD poly-Si thin film anodes deposited on top of the selected, most effective, diffusion barrier layer will be described, including their thermodynamic and kinetic properties in liquid-based electrolyte systems. Finally, cycle-life and structural investigations of fresh and cycled electrodes in both liquid and solid-state electrolyte covered systems will be presented.

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

3.1. Lithium Diffusion Barrier Layers Figure 2 shows the cyclovoltammograms of 70 nm thick Ta, TaN and TiN layers deposited on highly doped (nþþ) planar Si substrates. Cyclovoltammetry is a powerful tool to measure the electrochemical fingerprint of electrochemical (in)active materials. The results clearly show that TiN (red curve) and TaN (blue curve) have a very low reactivity with respect to Li-ion intercalation, revealing low currents in the potential range of 0 to 3 V vs. Li/Liþ. On the other hand, pure Ta metal (green curve) shows substantial affinity towards lithium. This results in pronounced reduction and oxidation currents, which indicate a reversible and undesired insertion of lithium into and extraction of lithium from Ta, at around 0.2 and 0.4 V, respectively. The inset of Figure 2 shows the constant-current charging and discharging results obtained using the same samples. These galvanostatic measurements provide useful information about the amount of charge involved during repeatedly cycling. As expected from the cyclovoltammograms, the storage capacities of the nitride-based materials are substantially lower than that of pure Ta films for a large

3. Results and Discussion Manufacturing high-aspect ratio structures by means of anisotropic etching is nowadays considered as standard silicon technology and has been extensively reported before.[19,21] Moreover, deposition of conformal layers in 3D etched structures is currently also emerging as a standard process.[18,19,22,23] Therefore, this contribution will focus on other aspects such as potential diffusion barrier layers and high

Adv. Funct. Mater. 2008, 18, 1057–1066

Figure 2. Reactivity of 70 nm thick sputtered Ta (green curve), TaN (blue curve) and TiN (red curve) diffusion barrier layers towards Li-ion. The main plot shows the cyclovoltammograms at 1 mV s1 and the inset reveals the corresponding galvanostatic cycling capacities obtained at 3 mA cm2 between 0 and 3 V vs. Li/Liþ.

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

www.afm-journal.de

1059

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

Figure 3. Relationship between the charge density and the film thickness for sputtered TiN layers upon galvanostatic cycling at 3 mA cm2 between 0 and 3 V vs. Li/Liþ.

number of cycles. It can be concluded that the use of nitrides as barrier layers is most beneficial, in particular TiN. Figure 3 depicts the charge density involved as a function of thickness of sputtered TiN thin films during galvanostatic (dis)charging experiments. The relationship between the capacity and thickness is linear and independent on the cycle number. This linearity implies that the amount of charge, reversibly inserted in and extracted from TiN, is proportional to the amount of TiN and can therefore indeed be considered as a bulk effect. From the slope of this line the amount of Li involved can be calculated, which amounts to only 0.02 Li per TiN formula unit. For comparison, Ta reversibly inserts and extracts 0.09 Li per Ta atom. Even though these amounts are extremely low, it is relevant to realize that traces of lithium, after diffusion from TiN, can disperse into the underlying bulk Si-substrate. It is known that metal contamination, such as copper but also lithium in dielectric films will strongly influence their electrical properties, which can, in turn, disrupt oxide-based integrated circuit devices.[24] Therefore, innovative solutions have to be found to further reduce this Li-amount penetrating these TiN barrier layers. Interesting possibilities might, for example, be offered by Atomic Layer Deposition (ALD), for which it is known that different structural properties can be The electrochemical achieved.[23] studies performed with ALD-deposited layers will be addressed in a future paper.

storage capacity towards lithium ion intercalation, i.e. 3579 mAh g1 and 8303 mAh cm3, respectively, assuming complete conversion into Li15Si4.[25–27] However, when lithium is electrochemically intercalated in silicon, the volume expansion of the host material can be as high as 300%. This induces high compressive stress, which results in severe degradation of bulk silicon.[18,28–30] This is visualized in Figure 4 where part of a single-crystal Si-wafer has been electrochemically charged and discharged in a Li-ion based organic electrolyte. After discharging, the electrode has been washed and dried and inspected with SEM and the result clearly shows that the single crystal wafer has been mechanically deteriorated due to the severe volume expansion and shrinkage. Crystallographic facets seem to be preferentially ‘‘decorated’’ by cracks. This result indeed shows that the mechanical integrity of bulk Si upon (de)intercalation is hard to control. Silicon thin films, on the other hand, are able to accommodate this stress much better and maintain their mechanical integrity.[31–38] The electrochemical properties of 50 nm thick poly-Si electrodes deposited on top of 70 nm thick TiN barrier layers have been studied. The electrode configuration used in this electrochemical study is shown in Figure 5. The optical micrograph in Fig. 5a reveals a patterned poly-Si layer on top of a silicon substrate that is fully covered with a TiN barrier. A patterning procedure is performed in order to accurately control the amount of active material. Mass measurements were performed, using Rutherford Backscattering Spectrometry (RBS). Knowing the precise mass is essential in obtaining an accurate value for the storage capacity. Typically, a 50 nm Si layer with this configuration is equivalent to an active mass of 19 mg. Fig. 5b shows a SEM cross-section of the same stack. Figure 6 shows the cyclovoltammograms of poly-Si anodes scanned between 1 and 0 V (red curve) and between 1 V and

3.2. Electrochemistry of Thin Film poly-Si Electrodes The choice of utilizing silicon anodes lies in the fact that it shows the highest Figure 4. Scanning Electron Micrographs of the surface of a single-crystal silicon wafer after theoretical gravimetric and volumetric electrochemical Li-intercalation and de-intercalation. Note the different magnifications.

1060

www.afm-journal.de

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

Adv. Funct. Mater. 2008, 18, 1057–1066

Figure 5. Optical photograph (a) and SEM cross-section (b) of a freshly LPCVD-deposited poly-silicon anode.

50 mV (blue curve). During reduction (negative currents), the red curve reveals two broad peaks ((a) and (b)) that correspond to transitions of elemental silicon into amorphous lithium silicides. Close to 0 V an additional peak (c) appears

Figure 6. Cyclovoltammograms (50 mV s1) of LPCVD poly-silicon electrodes scanned from 0.05 to 1 V (blue curve) and 0 to 1 V vs. Li/Liþ (red curve), representing the amorphous and crystalline structure, respectively. The denoted peaks are described in the text.

Adv. Funct. Mater. 2008, 18, 1057–1066

that can be ascribed to the crystallization of the material into the Li15Si4 cubic phase.[25] When extracting lithium during oxidation essentially one sharp peak is visible (f). This peak represents the single-step phase transition of crystalline Li15Si4 into amorphous silicon. However, if the lithium alloying process is stopped during reduction at 50 mV (blue curve) only the two amorphous transitions are taking place ((a) and (b)). The subsequent extraction of lithium leads to the symmetrical reversible processes (d) and (e). The double peaks found in Fig. 6 clearly show that two distinct energetic sites can be occupied on a nano-scale inside the XRD-amorphous material during Li (de)intercalation. Using High Resolution Transmission Electron Microscopy (HRTEM), Limthongkul et al. presented evidence that shortrange ordered meta-stable phases can be formed.[39] The ordered regions, dispersed in an amorphous matrix, were approximately 2 nm in size. This evidence was shown for lithiated samples with composition Li2.82Si. A similar material was also investigated by Dahn et al. by means of in-situ XRD.[25] In this last study no crystalline long-range ordered phase could be identified prior to the transition into Li15Si4. The electron diffraction patterns performed by Limthongkul et al. did not reveal a crystalline signature either. In the case of less Li-rich samples (Li2.26Si), no ordered regions were observed by HRTEM. These combined results indicate the meta-stable nano-scale nature of these amorphous lithiated silicon films. The thermodynamic and kinetics responses of thin-film silicon electrodes have also been investigated. Obviously, the measured electrode potential under current flowing conditions is the sum of the equilibrium potential (Eeq), representing the thermodynamics and the overpotentials (h), due to the kinetics. Part of the overpotential contribution is attributed to diffusion of the intercalated species inside the host material. Another part results from the charge transfer kinetics at the interface between the silicon film and the electrolyte. Moreover, phenomena like nucleation and crystal growth possibly contribute to h. In addition, an Ohmic potential drop is always present, resulting from contact resistances and the potential drop across the liquid electrolyte. The thermodynamics of the Si electrodes are determined as a function of the Li-content, using the Galvanostatic Intermittent Titration Technique (GITT). Figure 7 shows the equilibrium curves of the corresponding dynamic curves shown in Fig. 6. Curves of the derivative of the capacity with respect to the potential are presented in the insets. It is obvious that the derivative plots are indeed very similar to the dynamic cyclovoltammograms presented in Fig. 6. The positions of the different peaks are in this case, however, no longer influenced by any overpotential. The equilibrium curves are divided into two parts: insertion (a) and extraction (b) of Li-ion. By starting from the Lidepleted state (0 Li per Si atom), charge transfer takes place at the silicon/electrolyte interface and Li subsequently alloys with Si. Fig. 7a clearly shows the two amorphous phase transition stages when the potential decreases down to the cut-off voltage of 50 mV. During Li-ion extraction, the delithiation transitions

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

www.afm-journal.de

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

1061

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

Figure 7. Galvanostatic Intermittent Titration Technique (GITT) equilibrium curves of amorphous Li-Si transitions (a) and crystalline Li15Si4 (b). The insets show the derivative of storage capacity with respect to potential.

remain the same as for charging, giving a reversible Li/Si ratio close to 3.2. This situation is similar to the two-peak system observed in Fig. 6 (blue curve). In the case of crystalline Li15Si4 (Fig. 7b), a small plateau is observed at the end of charging (a). Extracting Li from a fully lithiated electrode (b) induces the conversion from Li15Si4 to amorphous Si, leading a reversible Li/Si ratio of about 3.7. This reaction is accompanied by a rather flat plateau around 400 mV, which is similar to the behavior found in the red curve of Fig. 6. The area encompassed by the equilibrium curves is attributed to hysteresis, as also reported by others.[20] The amount of extracted Li is clearly not equal to the amount of inserted Li. The difference is generally attributed to the formation of a SEI layer, which is irreversibly formed during the insertion reaction, as reported for many anode materials, such as graphite, Ag-Sn and recently for Plasma Enhanced CVD-grown silicon.[40–42] Although Li15Si4 has not been reported in the Li-Si binary phase diagrams,[43] it can apparently be formed electrochemically at room temperature. It was previously reported that this compound only appears in particular cases, depending on the deposition conditions, the morphology, adhesion to the

1062

www.afm-journal.de

substrate and thickness of the silicon film (above 2.5 mm).[25] In the present study, LPCVD poly-Si films are investigated. In this case the film adhesion is certainly improved by the use of high temperature deposition. Furthermore, fewer defects will be present in these high temperature-grown layers. This might explain why crystallization is not suppressed in these very thin LPCVD-grown films. Similar results were obtained by Jung et al. using 50 nm thick LPCVD amorphous silicon layers grown at 450 8C.[32] The structural changes were not pointed out by the authors but it can clearly be concluded from their voltage profiles that crystallization into Li15Si4 took place. In a complete battery system based on LPCVD silicon anodes, the occurrence of crystalline or amorphous silicides would lead to very different battery voltage profiles. Crystalline Li15Si4 reveals a single and rather flat plateau at 400 mV during Li-ion extraction, whereas amorphous silicides show two sloping plateaus. In terms of specific capacity, the crystalline material measures about 3500 mAh g1 (equivalent to 3.7 Li per Si atom). This is in good agreement with the theoretical capacity of 3579 mAh g1. The amorphous material shows a somewhat lower storage capacity of around 3000 mAh g1 (equivalent to 3.2 Li per Si). Consequently, the total output power will be higher in the crystalline case, since more lithium is present. Apart from these thermodynamic characteristics, the kinetics also plays an essential role in battery operation. Figure 8 shows, as an example, the rate capability of crystalline Li15Si4 during lithium extraction. The discharge capability of Li15Si4 is investigated from 0.1 to 300 C-rate (1 C-rate is equivalent to 40 mA cm2). Note that all potentials presented in Fig. 8 are Ohmic-drop corrected. Taking a closer look at the high-current discharge profiles in Fig. 8, a potential inflection is visible at about 200 mAh g1, which originates from the nucleation of amorphous silicon from the crystalline Li15Si4 phase. The subsequent plateau reflects the phase transformation of Li15Si4 into amorphous Si. Apart from these structural considerations, it is worthwhile to emphasize that these silicon

Figure 8. Ohmic-drop corrected discharge curves of crystalline Li15Si4 as a function of the gravimetric storage capacity for various discharge currents (0.1 to 300 C-rate).

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

Adv. Funct. Mater. 2008, 18, 1057–1066

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

anodes show an extremely high rate capability. For example, even when using a 100 C-rate current it is possible to deliver 93% of the maximum storage capacity in only about 30 seconds! In order to quantify the charge transfer kinetics of the silicon thin-film electrodes in more detail, the Butler-Volmer equation has been adopted  anFh  ð1aÞnFh I ¼ Io e RT  e RT

ð2Þ

in which Io is the exchange current density (A cm2), a the symmetry factor of the charge transfer reaction, F the Faraday constant (96485 C mol1), h the overpotential, defined as the difference between the potential under current flowing conditions and the equilibrium potential (E-Eeq), R the gas constant (8.314 J mol1 K1) and T is the absolute temperature.[44] Transforming this equation into a logarithmic form leads to ln 

I 1e

nFEeq RT

e

nFE RT

 ¼ ln Io 

anFEeq anFE þ RT RT

Figure 10. Cycle-life of a thin film silicon electrode in conventional organic Li-ion battery electrolytes containing 1 M LiPF6 in EC/DEC (a), 1 M LiClO4 in PC (b), and of the same electrode covered with a LiPON solid-state electrolyte (c). Galvanostatic cycling was performed using a 1 C-rate current between 0 and 3 V vs. Li/Liþ.

ð3Þ

The left-hand side of Eq. (3) is a linear function of the measured electrode potential (E). The experimental results obtained at three different storage capacities (850, 1275 and 1700 mAh g1) are shown in Figure 9. The data reveals a clear linear dependence up to currents of 3C, indicating that the charge transfer reaction at the silicon/electrolyte interface is the rate-determining step. From the slope of these curves an can be extracted while the intercept provides information about the exchange current densities at the various stages of the intercalation process. At higher discharge currents deviation from linearity starts to occur, which is attributed to mass-transport limitations inside the thin film or within the liquid electrolyte. Under these high-current conditions the electrochemical reaction between Li and Si is under mixed kinetic/diffusion control.

Figure 9. Butler-Vollmer data plotted according to Eq. (3) as a function of the Ohmic-drop corrected electrode potential at the selected gravimetric storage capacities 850, 1275, and 1700 mAh g1 (see also Fig. 8).

Adv. Funct. Mater. 2008, 18, 1057–1066

Figure 11. Bright-field TEM image of a freshly prepared Si/LiPON stack (a), revealing a sub-micron LiPON film on top of a 50 nm Si film and 70 nm barrier layer. EFTEM photograph of the interface at a much higher magnification (b), which is filtered for the typical SiO2/Si3N4 plasmon loss peak energy.

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

www.afm-journal.de

1063

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

Obviously, cycling is another key issue for rechargeable battery applications. This was investigated using two different liquid electrolytes, 1M LiClO4 salt dissolved in Propylene Carbonate and 1M LiPF6 dissolved in Ethylene and Diethyl Carbonate, and Lithium Phosphorus OxyNitride (LiPON) as solid-state electrolyte. Curves (a) and (b) of Figure 10 show that cycling Si electrodes in conventional Liþ-salt containing organic electrolytes the storage capacity is stable up to about 30 or 40 cycles but starts to decline sharply afterwards. Strikingly, when in addition an inorganic solid electrolyte is used to cover the Si, the capacity is maintained without observing any degradation (curve (c)). A similar LiPON-protected Si-film was investigated by TEM prior to the electrochemical experiments. Figure 11a presents a Bright Field image of the complete stack while Fig. 11b highlights the discrete interface between Si and LiPON in more detail, using Energy Filtered TEM. This filtering is normally used to intensify possibly formed products

at the interface. This is not visible in the present case, indicating that the Si/LiPON-electrolyte interface is highly stable under these deposition conditions. The intensity variation found within the LiPON layer is created by the electron-beam exposure, as the material is electron beam sensitive. After cycling, the cycled Si electrodes shown in Fig. 10 were subjected to SEM investigations (Fig. 12). The existence of a SEI passivation layer can beautifully be visualized by making use of Si wafers, easily facilitating to make cross-sections. In the case of graphite, it is well known that SEI is formed from the decomposition of the liquid electrolyte.[40] A similar process takes place at the Si/organic electrolyte interface where the continuous growth of SEI layer at the electrode surface was observed. Indeed SEM reveals that a thick and porous SEI layer has been formed upon cycling in the case of the LiPF6-based electrolyte (Fig. 12a) and LiClO4-based electrolyte (Fig. 12b). The Si layer is hardly visible, as it seems to be ‘‘dissolved’’ within the SEI layer. Strikingly, when a LiPON layer covers Si, liquid electrolyte decomposition does not take place and the Si layer remains intact (Fig. 12c). Here, the SEI layer is completely absent and, consequently, the cycle life of the Si electrode is not negatively affected at all (Fig. 10, curve (c)).

4. Conclusions

Figure 12. Cross-section of the Si/LiPF6-based electrolyte interface (a), Si/ LiClO4-based electrolyte interface (b) and Si/LiPON interface (c) after prolonged electrochemical cycling, corresponding to Fig. 10, curve (a), (b) and (c), respectively.

1064

www.afm-journal.de

A new 3D integrated all-solid-state battery concept has been proposed.[17,18] Starting from a high surface area silicon substrates obtained by micro-etching, this integrated battery concept is based on the step-conformal successive deposition of Li-diffusion barrier layers, high energy dense Si-anodes, solid-state electrolytes, cathodes and current collectors. Owing to this unique surface area enhancement, the proposed battery concept will improve the storage capacities of future 3D-integrated all-solid-state Li-ion micro-batteries significantly and offers interesting integration options. Obviously, other promising materials combinations can also be used as has been proposed in a separate paper.[18] Potential interesting Li-diffusion barrier layers have been successfully identified. Sputtered TiN was shown to be a promising candidate with respect to efficient Li-blocking. In order to further reduce the TiN reactivity and to facilitate conformal TiN layer growth onto 3D features, ALD-deposited TiN films are currently under investigation. The thermodynamic and kinetic properties of LPCVDdeposited poly-silicon electrodes have been studied as high energy-dense anodes. The combination of the extremely high reversible electrochemical storage capacity with the very high-rate capability, the beneficial voltage profile and excellent lifetime characteristics of this anode material protected with a solid-state electrolyte are very attractive in view of fabricating high energy-dense, 3D integrated all-solid-state batteries. In order to bring this concept one step closer to reality, future research efforts will focus on the deposition of conformal

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

Adv. Funct. Mater. 2008, 18, 1057–1066

Table 1. Sputter deposition parameters of the deposition of Li-diffusion barrier layers. Material TiN TaN Ta

Power [kW]

Gas

Flows [sccm]

Reactor Pressure [mTorr]

5 0.5 0.5

Ar/N2 Ar/N2 Ar

50/27.5 90/6 140

2.1 10 10

highly conductive and pinhole-free solid-state electrolytes and energy-dense electrode materials on substrates with highaspect ratio 3D structures.

5. Experimental Thin-Film Deposition: For the thin-film deposition process, (100) oriented 6 inch silicon substrates were used (nþþ, resistivity of ca. 1–5 mV cm). Sputtered Ta, TaN and TiN diffusion barrier layers were deposited at room temperature by means of dc magnetron sputtering, using Veeco Nexus 800 equipment. The base pressure was less than 5.105 mTorr. The typical thickness deposited was 70 nm, utilizing the parameters given in Table 1. Poly-silicon films, 50 nm thick, were grown using a Tempress LPCVD reactor with a horizontal quartz tube. Deposition was done at 610 8C, using 120 sccm of SiH4 at a pressure of 250 mTorr. LiPON RF-sputtering was performed using standard conditions reported in the literature [3]. Poly-silicon Patterning: In order to accurately control the surface area of the electrodes, the silicon thin films were further processed and patterned by means of standard lithography. First, standard HPR504 photo-resist was spun on the Si films and these were successively annealed at 90 8C for 2 minutes. Subsequently, a pattern mask was applied, followed by UV exposure for 45 seconds and curing at 120 8C for 30 minutes in air. The pattern was developed, using PLSI positive resist developer in order to expose the undesired silicon. The uncovered Si was selectively etched in an AME 5000 etch tool using a gas mixture of Cl2 and HBr (50 and 22 sccm, respectively) at 320 W power and 100 mTorr reactor pressure. Finally, the resist was stripped off to free the well-defined Si surface (active Si area 1.77 cm2). Individual samples were laser-cut to obtain individual electrodes (diameter of 2.9 cm). Thin-Film Characterization: The samples were analysed by SEM, using a Philips SEM XL40 FEG microscope with an incident electron beam of 10 kV. The samples were prepared for TEM, using FIB200 equipment (Focused Ion Beam). TEM studies were performed using a TECNAI F30ST TEM operating at 300 kV. In order to determine the amount of active materials, RBS measurements were conducted using two detectors, one mounted at a backscatter angle of 170 8 with respect to the incoming Heþ ion beam (nominally 2 MeV) and one at a variable angle (here 110 8). The former detector results in better layer thickness accuracy whereas the latter gives the best mass resolution. Measurements were carried out in the so-called channeling condition with the primary ion beam incident normal to the surface. Electrochemical Investigation: Three-electrode cylindrical electrochemical cells, made of Teflon1 and with a volume of about 40 ml, were assembled in an argon-filled glove-box. The diffusion barrier layers or the silicon electrodes were mounted as the working electrodes while pure lithium foils were used as counter and reference electrodes. The liquid electrolytes, comprising of 1M LiClO4 dissolved in PC and 1M LiPF6 dissolved in EC/DEC, were provided by Puriel, Techno, Semichem Co., Ltd, Korea. Detailed electrochemical experiments were conducted with the LiClO4-based electrolyte. The cycle-life experiments have been performed in both electrolytes. The cells were placed in a stainless steel holder that was thermostatically controlled at 25 8C. Contaminants in the glove-box (water and oxygen) were monitored and controlled below 1 ppm. Galvanostatic cycling was

Adv. Funct. Mater. 2008, 18, 1057–1066

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

performed with a M4300 galvanostat (Maccor, Tulsa, USA). Cyclic Voltammetry (CV) and Galvanostatic Intermittent Titration Technique (GITT) were conducted with an Autolab PGSTAT30 (Ecochemie B.V., Utrecht, The Netherlands). Received: October 29, 2007 Revised: January 18, 2008 Published online: March 31, 2008

[1] N. J. Dudney, J. B. Bates, B. J. Neudecker, Encyclopedia of Materials: Science and Technology 2006, 9302. [2] J. B. Bates, N. J. Dudney, B. Neudecker, A. Ueda, C. D. Evans, Solid State Ionics 2000, 135, 33. [3] J. B. Bates, N. J. Dudney, D. C. Lubben, G. R. Gruzalski, B. S. Kwak, Yu Xiaohua, R. A. Zuhr, J. Power Sources 1995, 54, 58. [4] HEF website www.hef.fr (accessed January 2008). [5] Oak Ridge Micro-energy website www.oakridgemicro.com (accessed January 2008). [6] Excellatron website www.excellatron.com (accessed January 2008). [7] Infinite Power Solutions website www.infinitepowersolutions.com (accessed January 2008). [8] US microbattery website www.usmicrobattery.com (accessed January 2008). [9] Cymbet website www.cymbet.com (accessed January 2008). [10] Front Edge Technology website www.frontedgetechnology.com (accessed January 2008). [11] J. W. Long, B. Dunn, D. R. Rolison, H. S. White, Chem. Rev. 2004, 104, 4463. [12] D. Golodnitsky, V. Yufit, M. Nathan, I. Shechtman, T. Ripenbein, E. Strauss, S. Menkin, E. Peled, J. Power Sources 2006, 153, 281. [13] M. Nathan, D. Golodnitsky, V. Yufit, E. Strauss, T. Ripenbein, I. Shechtman, S. Menkin, E. Peled, J. Microelectromech. Syst. 2005, 14, 879. [14] D. Golodnitsky, M. Nathan, V. Yufit, E. Strauss, K. Freedman, L. Burstein, A. Gladkich, E. Peled, Solid State Ionics 2006, 177, 2811. [15] C. P. Rhodes, J. W. Long, M. S. Doescher, J. J. Fontanella, D. R. Rolison, J. Phys. Chem. B 2004, 108, 13079. [16] C. P. Rhodes, J. W. Long, M. S. Doescher, B. M. Dening, D. R. Rolison, J. Non-Cryst. Solids 2004, 350, 73. [17] P. H. L. Notten, F. Roozeboom, L. Baggetto, ‘‘High energy density, all-solid-state batteries: a challenging new concept towards 3-D integration’’, paper K11.5 presented at Material Research Society (MRS Fall Meeting), Boston, USA, November 2004. [18] P. H. L. Notten, F. Roozeboom, R. A. H. Niessen, L. Baggetto, Adv. Mater. 2007, 19, 4564. [19] F. Roozeboom, R. Elfrink, T. G. S. M. Rijks, J. Verhoeven, A. Kemmeren, J. v. d. Meerakker, Int. J. Microcircuits Electron. Packag. 2001, 24, 182. [20] U. Kasavajjula, C. Wang, A. J. Appleby, J. Power Sources 2007, 163, 1003. [21] F. La¨rmer, A. Schilp, US Patent 5 501 893, 1996. [22] W.-G. Choi, S.-G. Yoon, J. Power Sources 2004, 125, 236. [23] S. B. S. Heil, E. Langreis, A. Kemmeren, F. Roozeboom, M. C. M. v. d. Sanden, W. M. M. Kessels, J. Vac. Sci. Technol. A 2005, 23, L5.

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

www.afm-journal.de

1065

FULL PAPER

L. Baggetto et al. / High Energy Density All-Solid-State Batteries

1066

[24] G. Raghavan, C. Chiang, P. B. Anders, S.-M. Tzeng, R. Villasol, G. Bai, M. Bohr, D. B. Fraser, Thin Solid Films 1995, 262, 168. [25] T. D. Hatchard, J. R. Dahn, J. Electrochem. Soc. 2004, 151, A838. [26] M. N. Obrovrac, L. Christensen, Electrochem. Solid-State Lett. 2004, 7, A93. [27] Y. Wang, J. R. Dahn, J. Electrochem. Soc. 2006, 153, A2314. [28] S.-J. Lee, J.-K. Lee, S.-H. Chung, H.-Y. Lee, S.-M. Lee, H.-K. Baik, J. Power Sources 2001, 97–98, 191. [29] L. Y. Beaulieu, T. D. Hatchard, A. Bonakdarpour, M. D. Fleischauer, J. R. Dahn, J. Electrochem. Soc. 2003, 150, A1457. [30] L. Y. Beaulieu, K. W. Eberman, R. L. Turner, L. J. Krause, J. R. Dahn, Electrochem. Solid-State Lett. 2001, 4, A137. [31] J. P. Maranchi, A. F. Hepp, P. N. Kumta, Electrochem. Solid-State Lett. 2003, 6, A198. [32] H. Jung, M. Park, S. H. Han, H. Lim, S.-K. Joo, Solid State Commun. 2003, 125, 387. [33] T. Takamura, S. Ohara, M. Uehara, J. Suzuki, K. Sekine, J. Power Sources 2004, 129, 96. [34] S. Ohara, J. Suzuki, K. Sekine, T. Takamura, J. Power Sources 2004, 136, 303.

www.afm-journal.de

[35] M. Uehara, J. Suzuki, K. Tamura, K. Sekine, T. Takamura, J. Power Sources 2005, 146, 441. [36] K. Yoshimura, J. Suzuki, K. Sekine, T. Takamura, J. Power Sources 2005, 146, 445. [37] M. Suzuki, J. Suzuki, K. Sekine, T. Takamura, J. Power Sources 2005, 146, 452. [38] S. Ohara, J. Suzuki, K. Sekine, T. Takamura, J. Power Sources 2003, 119–121, 591. [39] P. Limthongkul, Y.-I. Jang, N. J. Dudney, Y.-M. Chiang, Acta Mater. 2003, 51, 1103. [40] D. Aurbach, J. Power Sources 2000, 89, 206. [41] D.-T. Shieh, J. Yin, K. Yamamoto, M. Wada, S. Tanase, T. Sakai, J. Electrochem. Soc. 2006, 153, A106. [42] Y. M. Lee, J. Y. Lee, H.-T. Shim, J. K. Lee, J.-K. Park, J. Electrochem. Soc. 2007, 154, A515. [43] C. v. d. Marel, G. J. B. Vinke, W. v. d. Lugt, Solid State Commun 1985, 54, 917. [44] P. E. de Jongh, P. H. L. Notten, Solid State Ionics 2002, 148, 259.

ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

Adv. Funct. Mater. 2008, 18, 1057–1066

Suggest Documents