EUV journey Challenges and Solutions

EUV journey – Challenges and Solutions Deep Shah Department of Electrical & Computer Engineering, Clemson University, Clemson SC 29634 (USA) E-mail: d...
Author: Jasmine Stone
0 downloads 0 Views 525KB Size
EUV journey – Challenges and Solutions Deep Shah Department of Electrical & Computer Engineering, Clemson University, Clemson SC 29634 (USA) E-mail: [email protected]

ABSTRACT The need for decreasing the feature size and thus increasing transistor speed and silicon area has driven the development of Next Generation Lithography (NGL). Extreme Ultra Violet Lithography (EUVL) is an NGL technology for going to 14 nm feature size and lower. It has tremendous capability and benefits but there are many hindrances in making EUVL successful. Re-engineering of all the subsystems needs to be done. Specialized high power optical sources, defect-free masks, highly reflective optics, ultra-high vacuum environments, protection and lifetime of illuminator optics and masks, flare and shadowing, etc. are the topics that need to be taken into consideration before commercializing EUVL. The aim of this paper is to address all these major hindrances that come in the way of cost-effective EUVL. While some aspects are already solved, some still need to be solved. This paper will elucidate the journey of EUVL in the last 20 years – issues resolved in the due course as well as the ones which are still persistent. Keywords: EUVL, LPP, DPP, NGL, Laser, Patterning, HVM, COO, RMS

1

1. INTRODUCTION Photolithography is one of the most important steps for chip manufacturing in the IC fabrication industry. It is the one that controls the advancements made in feature size reduction, and thus, transistor speed and silicon area. It accounts for about 30 percent of the cost of semiconductor chip manufacturing. As more and more transistors need to be packed in the same area to improve chips’ performance, research and development in the field of photolithography is of utmost importance. Currently, we use the 193 nm immersion technology for mass manufacturing of semiconductor chips. But this has certain limitations. Till 14/16 nm channel length, it worked perfectly well with improvements in patterning, projection lens and various other engineering marvels. But to go further down to 10 nm channel length or lower, a new approach or lithography method needs to be developed unless we use multiple patterning (triple/quadruple patterning) which is costly and less productive. EUVL has the potential to make smaller feature sizes using single patterning with fewer design restrictions, increasing wafer throughput and reducing manufacturing costs instead of using double or triple patterning that we use currently [1]. This can improve the productivity to 100 wafers/hour [2]. EUVL uses 13.5 nm (extreme ultra violet) wavelength, which is a next-generation lithography technology (figure 1). But it is not easy to commercialize it for mass production as there are many issues that need to be resolved. Re-engineering of all the subsystems namely, optical light/power source, collector & projector optics, masks and photoresists needs to be done before we can commercialize EUV with a reasonable cost of ownership. The goal of this paper is to elaborate on all the major challenges encountered by the industry in commercializing EUV for mass production and the proposed methods for its solution. Very high optical light source power, coherence, flare, mask shadowing, mask defects, resist resolution, line edge roughness, long term source operation, reticle protection, highly reflective optics, etc. are some of the major challenges to the success of EUVL [3]. While some of these issues have already been taken care of, there are still some show stoppers which are responsible for the failure of EUVL in HVM of wafers. This paper will try to address all these challenges and provide insight on the research opportunities in those, to make EUVL a reality in the near future. 2. WHY 13.5 nm FOR EUV? The main reason for adopting 13.5 nm wavelength for EUV was the availability of plasma sources and reflective multi-layer optics at this wavelength [4]. Sn and Xe were known to be emitting this wavelength. Also, multilayer Si-Mo reflective optics with reflectivity of 0.7 were available and known. From the photo-resist point-of-view, the choice of this wavelength was based on several advantages such as high optical absorption, sub-10 nm diffraction limit and low proximity effect [4]. 3. LIGHT SOURCES EUVL light is produced by heating fuel (Xe, Sn) in EUV sources to a very high temperature by using either magnetic compression or laser irradiation. Four general types of sources are used in developing EUV technology: 1. EUV radiation generated at storage rings at bending magnets, wigglers, or undulators. 2. Discharge-produced plasma (DPP). 3. Laser-produced plasma (LPP) 4. "EUV tubes”, which are similar to X-ray tubes, but optimized for EUV emission [2]. Out of these four, LPP and DPP are the most popular type of sources (figure 2). A major technical challenge for a EUV light source is the power requirement of >200 W at the Intermediate Focus (IF) [5]. This needs to further increase to 500-1000 W at IF to use it for HVM to provide low COO and maintain higher throughput [6]. Average power of 150 W is 2

obtained at 100 kHz repetition rate and tentative goal of the average power of 250 W is expected at 150 kHz repetition rate [7]. The method to increase the average power is to increase the repetition rate keeping all operational parameters. But this is limited by the plasma exhaust time. Plasma exhaust time of 2 µs limits this repetition rate to 500 kHz [7]. Equivalent power levels close to 80 W at the IF were reached by Cymer, Inc. at 50 kHz repetition rate [8]. Many technical challenges are faced in increasing this repetition rate and thus, the average power level. Synchronization between repetition rate and droplet rate plays the most important role in making a reliable EUV source. Today average power of 92 W has been reached at the IF by Gigaphoton, Inc. under continuous operating conditions with a collection efficiency (CE) of 4% [5] with the use of Dual Wavelength LPP EUV light source concept (figure 3). While we know the importance of high power, having a high CE simultaneously is equally important. For HVM, we need a CE of 5 % and higher [9]. A question might arise as to why we are still using repetition rates of 50 kHz, 100 kHz and 150 kHz while we can go till 500 kHz to increase average power. But there is a trade-off in increasing repetition rates. As we increase the repetition rate, the size of the droplet should be reduced simultaneously for better synchronization. As we reduce the size of droplet, the number of ions in the droplet get reduced. This reduced number of ions result in less radiations and thus, less intensity and less average power if the power of the CO2 laser is kept the same. There is a technical limitation in keeping the size of the droplet same while increasing the repetition rates. Also, creating a droplet is a mechanical system. Producing droplet at such high frequencies is difficult as compared to an optical system. Synchronization between laser pulses and drop production is very critical in producing EUV. Loss of synchronization can cause damage to the optics and overall system due to high energy laser pulses. At lower ion densities and lower irradiances, higher CE is obtained but power will be less [5]. So there is a trade-off between source power and CE as well. Unless this issue is solved, we cannot increase the repetition rates beyond a certain value even though we know it will result in higher average power as desired for HVM. Currently, we are using CO2 laser of 11-15 kW power and with that we have reached at around 100 W power at IF. This can be scaled to around 200 W with the use of 20-30 kW CO2 laser [10]. CO2 laser with such high power is one of the core challenges in increasing source power by using LPP light source. According to data from the EUV workshop held on 15-19 June, 2015 at Hawaii, it is found that much progress has been made in the area of source power. From 40 W, we have reached to > 80 W source power at IF. We need a source power of 250 W at IF for reasonable COO. While there has been tremendous progress in the last two years in the source power, availability, operating cost and stability are major concerns. For pilot production, we need > 100 W power and 75 % availability while for HVM, we need > 250 W power and 95 % availability. Currently, we have reached to 80-100 W source power and 55 % availability [11]. Thus, source power and availability need to improve at the same time. Lastly, we need to make sure that the light produced by plasma contains only the EUV wavelengths with maximum spectral purity and minimum IR wavelengths. EUV plasma emits not only EUV wavelengths but also UV, visible light and IR wavelengths. To ensure this “out of band” light components are removed, a spectral purity filter (SPF) was introduced. At the IF, the IR to EUV power ratio is 5 to 1 or higher. So in order to get EUV power of > 250 W, we need an SPF that can withstand an IR power input of 1750 W. IR rejection becomes critical in this case. The fabricated SPF (figure 4) showed a rejection ratio of 99.7 % for 10.6 µm IR light [12]. This SPF improves the spectral purity of EUV light but we need to pay a price for it. 3

Thus, as far as source power is concerned, it is still a major challenge that needs to be overcome. Sufficiently high power levels at IF have not been reached to be used for HVM. Obtaining high source power simultaneously with high CE, life time and availability is still a barrier in commercialization of EUVL. Some believe that LPP is the solution to this while others believe Free Electron Laser (FEL) will come to rescue. H. Mizoguchi, et al. in [13] prefers LPP because of its high efficiency, power scalability, and spatial freedom around plasma while A. Endo in [14] argues that FEL is an alternative solution for kW average power technology as it is indicated that the limitation of LPP is thermal dissipation in a smaller volume leading to instability of mechanical components and thus, FEL has an advantage in terms of thermal dissipation. Only time shall tell which technology has better power scalability and benefits over the other while implementing it for HVM. 4. OPTICS High conversion efficiency is one of the key factors to be considered for the success of EUVL. High conversion efficiency can be obtained by using a large, high reflectivity, high collection angle ellipsoidal mirror. Current collection mirrors comprises of multiple silicon-molybdenum layers which reflects a small band around the target wavelength. The key challenge is to maintain this high collection efficiency for longer duration of time for low COO. The proximity of collection mirror to high temperature plasma causes a lot of problems. These high energy plasma ions can damage the coating of the mirror and thus, reduce its reflectivity. The three main degradation mechanisms responsible for loss of reflectivity are deposition of tin particle debris, deposition of tin vapour and erosion of mirror by high energy ions [9]. The use of small droplets is one of the best ways to mitigate reflectivity loss but as discussed earlier, we cannot reduce the size of the droplet beyond a certain limit for better synchronization and high power output. Currently debris mitigation subsystems and sacrificial mirror layers are used to mitigate this reflectivity loss. Reflectivity loss reduces the life-time of the mirrors. Life-time is an important factor that needs to be considered while using optics. As the life-time of optics gets reduced, the cost as well as throughput of the system gets reduced. One of the problems is the life-time elongation of these mirrors. There are two improvement guidelines for this: one is changing the structure of the multilayer mirrors and second is etching [15]. Using multiple layers will surely improve the life-time of the mirrors protecting them damage while etching will improve the life-time but won’t protect mirrors from damage. Thus, to produce stable EUV mirrors, making multilayer mirrors is the best way. [15] discusses 300-bilayer-class Si-Mo multilayer mirrors with 5-6 times larger layer number as compared to standard Si-Mo multilayer mirrors and has 5-6 times longer lifetime. The second challenge is thermal expansion of mirror and wave front errors (WFEs) due to absorption of EUV light. We cannot use cooling mechanisms on the back of the mirror as the mirrors are too thick for that. But we cannot neglect them either as these WFEs result in image degradation. Even a WFE of 0.65 nm can cause critical image degradation. To counter this WFEs, the use of adaptive optics system was proposed which uses active mirror (figure 5) [16]. Satisfactory results are obtained but adding a system will do nothing but increase the cost of the system. Lastly, maximum reflectivity of optics is a major concern. The theoretical maximum that can be obtained for reflectivity of Si-Mo mirrors is 72 % [1]. In EUV, we use more than 6 mirrors. So if we consider reflectivity of 0.7 for each mirror, the power output at the end is (0.7) 6 i.e. 4

0.118 times the input power at the IF. This is a huge loss of power. But at EUV, this is the maximum reflectivity we can obtain. So the only option is to increase the input power or look for another wavelengths at which this reflectivity is more as compared to EUV. Research has found that at 6.63/6.66 nm wavelength, we can get reflectivity close to 82 % [5]. This can reduce the power requirements but we need different plasma sources and materials for its production. Also, the CE obtained for this Beyond-EUV wavelength is 0.6%, which is very less for practical purposes [5]. If we can increase its CE, we can go to BEUVL, which can further reduce the feature size because of its shorter wavelength than EUV. Thus, Optics as a whole does not pose a problem in commercializing EUVL but reducing cost related to them will determine whether EUVL will be used in the industry or not. Making highly reflective mirrors, maintaining surface flatness and controlling WFEs combined with reasonable COO is a challenge that still persists. 5. MASKS The availability of defect-free masks is also a challenge in itself. As the wavelength of EUV is very less, the roughness it can handle will also decrease. Even roughness that were considered smooth or defect-free for 193 nm become reason for light scattering and distortion at the EUV wavelength. As EUVL is a pellicle-less technology, it is of utmost importance to protect its masks from particle contamination. In 193 nm immersion lithography, we have pellicle for protection. Also, the masks used in EUVL are reflective masks. Surface roughness of the mask must be < 0.15 nm RMS and no defect greater than 30 nm can be tolerated on substrate surface [17]. Currently, Optical Proximity Correction (OPC) methods, Critical Defect (CD) size cleaning process and Actinic Patterned Mask Inspection methods are used for creating defectfree masks and the progress has been phenomenal [11]. Laser Induced Plasma (LIP) generated shockwaves is also a possible cleaning mechanism for damage-free cleaning of nanoparticles from EUVL masks [18]. Whatever methods (figure 6) we use, it adds cost to the customer. If the cost cannot be contained, EUVL will be losing its mask-cost advantage it has over other technologies. Moreover, countering flare and shadowing effects is also a challenge in itself. The layout patterns on mask are made by light absorbers, forming the dark regions on the wafer. The regions not covered by layout will be bright. Due to surface roughness of the mask, there will be scattering of light (figure 7) and this will result in reduction of contrast between the dark and the bright regions. This can cause image distortion. This is called flare effect. We cannot obtain 100% defect-free masks but can only reduce the number of defects. As we increase the level of mask-flatness, the cost of masks go up. To add to it, the thickness of the absorbers and the incident angle of light (usually 6˚ w.r.t to axis perpendicular to mask plane) can cause shadowing effect. In particular, flare effect is inversely proportional to the square of wavelength [19]. Thus, due to very low wavelength, it suffers from very high flare level. The best known method to compensate flare and shadowing effect is Optical Proximity Correction (OPC) [19]. Moreover, there has been a proposed method which can reduce flare effect as well as mask cost without the use of dummification. This method is known as wire segment perturbation method [20]. This method is not as popular as OPC but has the potential to reduce mask cost. To add to it, there is a trade-off in increasing the NA of the projection lens to improve resolution and NA at mask. For improving the resolution below 8 nm half pitch, the NA required should be far more than existing 0.33 NA. As a result of the increased NA, the incident angles at the 5

mask too increase. This larger magnification (7x - 8x) and constraint of 6” mask will yield a smaller field on the wafer. This is not desired. It is observed that larger magnification is needed only in the scanner direction and in the other direction, the magnification can be kept at 4x. The use of anamorphic projection lens system is proposed to achieve desired magnification at both scanning and mask [21]. This will offer good scalability as well as two nodes of shrink for single exposure patterning. Thus, acceptable defect-free masks are available for commercial use. But those masks do not have the advantage of low cost. Several costly methods need to be employed to obtain those defect-free masks. 6. RESISTS EUVL requires high performance photo-resists with sub-20 nm half-pitch resolution and extendibility beyond 14 nm. Chemically Amplified Resists (CARs) are used for this purpose. Leading EUV resists have film thickness in the range of 35-40 nm but they have also been pushed down to 30 nm at the cost of additional pattern transfers. The latest formulations have achieved 13 nm half-pitch at 35 mJ/cm2 sensitivity and LWR was in the range of 4-5 nm [22]. Challenges include achieving < 20 mJ/cm2 sensitivity and < 3 nm LWR [11]. Negative Tone Imaging (NTI) has a huge advantage for reducing LWR due to low swelling and good dissolving behaviour [11]. Futher research still needs to be done to improve LWR along with sensitivity. For non-CAR materials, understanding chemistry with hydrogen is a major challenge. The synchrotron needs to be protected from hydrogen [11]. Thus, much work has already been done in the field of photo resists. We have reached quite close to the required levels of LWR and sensitivity. The only thing remaining is taking this R&D work to the manufacturing phase. 7. CONCLUSION The only main issue with commercializing EUVL that has been an eye-sore for the industry is increasing the source power. Designing very high power optical lasers has taken more than 15 years in the making but still we are just at 100 W at the IF. Much progress has been made taking power from 10 W to 100 W. However, that’s not enough. We need power levels of 250 W for pilot production and > 500 W for high throughput HVM. It is told that in the next three years there are better chances of achieving those values and finally we’ll be able to see EUVL in industry. Wafer throughput of 1000 wafers per day has already been demonstrated at the EUV conference of Hawaii. So it is logical to be optimistic about the future of EUVL. But to compete with the existing 193 nm immersion lithography, we need to increase the throughput tremendously. As making of EUV equipment, masks, resist, optics, etc. is already expensive, the only way to make EUVL cost-effective is by increasing the throughput. Throughput can be improved naturally because of less steps as compared to multiple patterning. Till EUVL is commercialized, the best way is to use triple patterning for HVM using the existing technology. Triple patterning (figure 8) is very simple in concept but requires 3 masks and stiffer design rules. Currently, double patterning is in use. If EUVL is not available in the next 3 years, we have triple, quadruple patterning to our rescue. Of course we will need more sequential steps and it can reduce the throughput, but industry is kinder to none. To survive in 6

this cut-throat competition, one needs to find a way to stand out from others. High source power and anamorphic projection system has the potential to improve the throughput to 180 wafers per hour. If such high throughput is obtained, then all EUV costs can be compensated and EUV can be used for HVM at reasonable COO. The time it takes for industrial applications is still unknown. Many scholars predict three years but only time shall tell. Moreover, emphasis is also laid on BEUVL for its benefit of offering higher reflectivity at those wavelengths. But much work needs to be done of obtain a reasonable CE for BEUVL system. Furthermore, it has an advantage of smaller wavelength than EUVL which may be useful in decreasing the feature size. To sum up, what would be the NGL technology in the future is still unknown. Will we ever be able to commercialize EUVL is still a question that only time can answer. Industry is still hopeful and optimistic about the future of EUVL.

7

8. REFERENCES [1] C. Wagner, “EUV Lithography: Lithography gets extreme”, Nature photonics, Vol. 4(1), pp. 24-26, 2010. [2] V. Bakshi, R. Lebert, U. Stamm, M. Corthout, et al., “Status Report on EUV Source Development and EUV Source Applications in EUVL”, Mask and Lithography Conference (EMLC), 2007 23rd European, IEEE Xplore, pp. 1-11, 22-26 Jan. 2007. [3] K. Ronse, E. Hendrickx, M. Goethals, et al., “Status and challenges of extreme-UV lithography”,VLSI-TSA ’09 International Symposium, IEEE Xplore, pp. 98-99, 27-29 Apr. 2009. [4] N. Mojarad, Y. Ekinci, J. Gobrecht, “Interference lithography at EUV and soft X-ray wavelengths: Principles, methods, and applications”, Microelectronic Engineering, Vol. 143, pp. 55-63, 2015. [5] G. O’Sullivan, B. Li, R. D’Arcy, P. Dunne, et al., “Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development”, Journal of Physics. B, Atomic, Molecular and Optical Physics, Vol. 48(14), pp. 144025, 2015. [6] O. Wood, E. Panning, “Considerations of a free-electron laser-based EUV lithography program”, Extreme Ultra-Violet (EUV) Lithography VI, Proc. SPIE, Vol. 9422, 22 Feb. 2015, DOI: 10.1117/12.2085538. [7] A. Endo, “Status and potential of laser based EUV sources”, Lasers and Electro-Optics (CLEO), 2015 Conference, IEEE Xplore, pp. 1-2, 10-15 May 2015. [8] B. Fontaine, “Laser produced plasma light sources for EUV lithography”, Lasers and Electro-Optics (CLEO) and Quantum Electronics and Laser Science (QELS) Conference, IEEE Xplore, pp. 1-2, 16-21 May 2010. [9] N. Farrar, I. Lalovic, et al., “Lithography light source challenges for Double Patterning and EUVL”, Mask and Lithography Conference (EMLC), 2009 25th European, IEEE Xplore, pp. 1-8, 2009. [10] H. Komori, T. Abe, et al., “Laser-produced plasma source development for EUV lithography”, IEEE International Conference on Plasma Science - Abstracts, 2009. ICOPS 2009. , IEEE Xplore, pp. 1-1, 2009. [11] V. Bakshi, “2015 International Workshop on EUV Lithography”, Jun 15-19, 2015, http://euvlitho.com/2015/Summary.pdf [12] Y. Suzuki, K. Totsu, et al., “Free-standing subwavelength grid infrared rejection filter of 90 MM diameter for LPP EUV light source”, Micro Electro Mechanical Systems (MEMS), 27th International Conference on, IEEE Xplore, pp. 482-485, 2014. [13] H. Mizoguchi, H. Nakarai, et al., “Performance of one hundred watt HVM LPP-EUV laser”, Extreme Ultra-Violet (EUV) Lithography VI, Proc. SPIE, Vol. 9422, 13 Mar. 2015, DOI: 10.1117/12.2086347 8

[14] A. Endo, “Optimization of High Average Power FEL beam for EUV Lithography”, Advances in X-ray free electron lasers instrumentation III, Proc. SPIE, Vol. 9512, 12 May 2015, DOI: 10.1117/12.2182239 [15] S. Ichimaru, M. Hatayama, et al., “Mo/Si multilayer mirrors with 300-bilayers for EUV lithography”, Photomask and Next-Generation Lithography Mask Technology XXII, Proc. SPIE, Vol. 9658, 9 Jul. 2015, DOI: 10.1117/12.2197314 [16] R. Saathof, et al., “Design and characterisation of an active mirror for EUV-lithography”, Precision Engineering, Vol. 41, pp. 102-110, 2015. [17] S. Wurm, P. Siedel, et al., “EUV mask infrastructure challenges”, Mask and Lithography Conference (EMLC), 2007 23rd European, IEEE Xplore, pp. 1-12, 2007. [18] I. Varghese, C. Cetinkaya, “Laser-Induced Plasma Exposure on Extreme Ultraviolet Lithography Masks: Damage Analysis”, IEEE Transactions on Semiconductor Manufacturing, IEEE Xplore, pp. 630-637, 2012. [19] Y. Chang, R. Liu, S. Fang, “EUV and e-beam manufacturability: Challenges and solutions”, Design Automation Conference (DAC), 2015 52nd ACM/EDAC/IEEE, IEEE Xplore, pp. 1-6, 2015. [20] S. Paul, P. Banerjee, S. Sur-Kolay, “Flare reduction in EUV Lithography by perturbation of wire segments”, Very Large Scale Integration (VLSI-SoC), 2015 IFIP/IEEE International, IEEE Xplore, pp. 7-12, 2015. [21] J. Schoot, C. Valentin, et al., “EUV lithography scanner for sub 8 nm resolution”, Extreme Ultra-Violet (EUV) Lithography VI, Proc. SPIE, Vol. 9422, 16 Mar. 2015, DOI: 10.1117/12.2087502 [22] A. Grenville, J. Anderson, B. Clark, P. Schepper, et al., “Advances in Patterning Materials and Processes XXXII”, Proc. SPIE, Vol. 9425, 22 Feb. 2015, DOI: 10.1117/12.2086006 [23] S. Halder, V. Truffert, K. Sah, et al., “Inspection Challenges for Triple Patterning at Sub14 nm nodes with Broadband Plasma Inspection Platform”, Advanced Semiconductor Manufacturing Conference (ASMC), 26th Annual SEMI, IEEE Xplore, pp. 19-22, 2015

9

9. FIGURES

Fig. 1. EUVL Scanning Strategy [1]

Fig. 2. LPP and DPP Source [1]

10

Fig. 3. ~ 100 W LPP Source by Gigaphoton [5]

Fig. 4. Schematic of SPF for removing IR components [12]

11

Fig. 5. Active Mirror to compensate WFEs [16]

Fig. 6. Mask Defect Inspection Strategy [17]

12

Fig. 7. Light Scattering due to Mask Surface Roughness [20]

Fig. 8. Triple Patterning Mask Patterns [23]

13