7
ASIC VENDOR DIRECTORY
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
Acclaim Technology, Design Services Group San Jose, CA
Core-based design integration and implementation into silicon
Advanced Designs Colorado Springs, CO
Digital and Analog Analog, digital, and PCI cards ASICs, mixed-signal, FPGA, board design, system, and PCI design
Advanced Digital Designs Chicago, IL
ASIC, FPGA, DSP, and system design
Communications, audio, video, and forward- CMOS, ECL & error correction GaAs
Yes
Advanced Microelectronics Jackson, MS
ASIC, DSP, and fullcustom design
Analog, digital, mixed-signal, video, audio, power, graphics, disk drive, and networks
BiCMOS, bipolar, & CMOS
Yes
Advanced Silicon Technology Harbor City, CA
Full chip design, layout Analog, digital, and high-density full-custom BiCMOS, bipolar, and Dracula layout and design & CMOS programming
Yes
Alta Group of Cadence Design Systems Sunnyvale, CA
Product design, modeling, validation, methodology, tool interfaces, & communications hardware design
Communications and network hardware design, and multimedia
BiCMOS, bipolar, CMOS, & GaAs
Yes
Annapolis Micro Systems Annapolis, MD
ASIC, FPGA, and reconfigurable computing design feasibility studies, and FPGA to ASIC migration
Analog, digital, mixed-signal ASIC, full custom, standard cell, gate array, custom cell design/characterization, synthesis, VHDL retargeting, behavioral modeling, Verilog & VHDL
BiCMOS, bipolar, & CMOS
Yes
APK Engineering Agoura Hills, CA
FPGA, ASIC, custom IC, DSP, embedded processors, mask design and microcontrollers, RF front ends, Verilog, verification, board and VHDL design, software, and systems
Bipolar, CMOS, & GaAs
Yes
Arisix San Jose, CA
ASIC, FPGA, and design ASIC/simulation related tools/scripts, design CMOS process consulting processes, & test
Yes
Arizona Microtek Mesa, AZ
ASIC
No
ASIC Designs Naperville, IL
ASIC, FPGA, system, Analog, mixed-signal, ultra-low power, video, BiCMOS, Bipolar board design, software, telecommunications, networking, ATE, ULSI, RF, EEPROM, firmware, full turnkey, and RF CMOS, & GaAs and custom layout
Cores, COT, DFT, technology migration, simulation, synthesis, verification, IC place and route
RF, wireless, mixed-signal, PLL, analog, video, fiber, and system cost reductions
Source: Integrated System Design
Gate array & standard cell
Yes
Bipolar & CMOS
Yes
BiCMOS, bipolar, CMOS, & SOI
Yes
22784
Sampling of Third Party Design Consultants
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-1
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
ASIC International Nashville, TN
Advanced designs for complex ASICs and systems, architectural definition, modeling, partitioning, synthesis, full layout, test, algorithms, FPGAs, Verilog, and VHDL
DSP, cryptography, communications, graphics, video, audio, rad-hard. Cores: DSP and cryptographic
BiCMOS, bipolar, CMOS, & GaAs
Yes
Bear River Microsystems Grace, ID
ASIC design and layout
Analog and mixed-signal design sensors, electroptics and related signal conditioning circuits
BiCMOS, bipolar, & CMOS
Yes
Best Proto San Diego, CA
ASIC
ASIC design and verification
ASICs & systems
No
Bethel Tronix Cerritos, CA
ASIC, FPGA, board design, module design
Analog, mixed-signal, RF, IF, optical, and communications
BiCMOS, CMOS, & GaAs
Yes
Blackstone EDA Worcester, MA
Fault grading and ATPG, boundary scan synthesis, and VHDL/ Verilog RT-level conversions
Verilog simulations and design for test
ASICs & systems
Yes
Cadence Design Systems San Jose, CA
Complex IC design, design process optimization, high-speed board design, and system design
Analog/RF, digital, mixed-signal, networking and communications, computing, consumer, processors, controllers, memory devices, peripherals, multimedia, and test
BiCMOS, bipolar, CMOS, & GaAs
Yes
IC CAD Tools, multi-vendor, methodologies, and libraries
BiCMOS, bipolar, & CMOS
Yes
CADmazing Solutions Verification, place and Santa Clara, CA route, Verilog, and CAD engineering CADWorx Consulting Dublin, CA
CAD engineering for PCI, graphics, large designs, technology ASIC & FPGA, technology translation, efficient design-flows retargeting, synthesis, verification, floorplanning, layout, test, custom, CAD tools, Verilog & VHDL, & submicron design methodology
CMOS, ECL, & FPGA
Yes
CAE Plus Austin, TX
Development of executable Multimedia, communications, embedded specifications for system systems, digital, CPU, microcontroller, ICs & microprocessor
N/A
Yes
Carpenter Electronic Association Longhorne, PA
ASIC, EDA, FPGA, and system design
Analog/mixed-signal, audio, automotive, control & measure, medical, signal processing, communications/telephony, data acquisition, power, and barcode
BiCMOS, bipolar, & CMOS
No
Certus Consulting Group Antioch, CA
ASIC, FPGA, system, other
High-speed, disk drives, mixed-signal, communications, test, other
CMOS
Yes
Comit Systems Sunnyvale, CA
ASIC, FPGA, system design, embedded systems, HDLs, conversions, libraries, testing, and verification
ASIC/FPGA design, VHDL/Verilog designs, ASICs & FPGAs HDL cores, PCI, bridges, communications, high-speed computing, high-speed xnetworks
Source: Integrated System Design
Yes
22785
Sampling of Third Party Design Consultants (continued)
7-2
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
BiCMOS, bipolar, CMOS, GaAs, & rad-hard
Yes
BiCMOS, bipoar, CMOS, & GaAs
Yes
CPU Technology Pleasanton, CA
Complex IC, ASIC, FPGA, Microprocessors, microcontrollers, graphic processors, DSPs, legacy processors DSP, megacell, board & complex virtual system prototyping, system verification, and parallel processing
DepCAD Eastport, NY
IC layout and CAD support—verification tools
Standard cell and full custom layout— mixed-signal/digital/memories/ microprocessors, CAD services-chip verification, debug for Vericheck, Dracula, and Diva
Doctor Design San Diego, CA
Hardware, software, and ASIC design, board design, and FPGA
High-speed networks, set top box reference N/A design, test equipment, digital and analog
Duet Technologies San Jose, CA
ASIC, FPGA, design migration, logic consolidation, prototyping, libraries, modeling, and turnkey EDA services
Communications, top-down design, DFT, reverse engineering/spec reconstruction, and libraries/design kits
Emulation Experts Sunnyvale, CA
System emulation, project Graphics, PC and PC peripherals, microprocessors, computer systems and implementation, project telecommunication technologies management & training, tool integration, ASIC emulation, & hardware/ software verification
Eureka Technology Los Altos, CA
IC design services, ASIC, Wireless communication, Spread Spectrum, CMOS & FPGA CPU, X86/ISA/PCI/PowerPC FPGA, macro-function design, Architecture, product definition, behavioral modeling, synthesis, simulation, verification & timing analysis
Explore Electronics Fullerton, CA
Altera FPGA and mixed ASIC, RISC/CISC/DSPbased schematic/PCB, analog, power, FCC/EMI, & rapid development techniques
Video, imaging, printing, graphics, laser, motion control, data communications, and PCMCIA
BiCMOS, bipolar, & CMOS
Yes
Fliptronics Sunnyvale, CA
FPGA, ASIC, hardware/ software system design
High-speed digital system, ATE, CPUs, and FPGAs
CMOS
Yes
BiCMOS & CMOS
No
N/A
Yes
Florida Micro Devices Turnkey ASIC, full- and semi-custom cell Coconut Creek, FL development
Analog and mixed-signal, high-performance BiCMOS, bipolar, ASICs. Communications, controls, sensor, & CMOS signal conditioning, circuits, linear, bipolar arrays, & CMOS low-voltage
Focus Semiconductor ASIC Lower Gwynedd, PA
Mixed-signal, micro-power, RF and VCXOs, video, audio, power management, telecommunications, and DSP
Frequency Technology Los Altos, CA
Process characterization and interconnect model development. Interconnect parasitic extraction calibration
BiCMOS & CMOS
CMOS Interconnect modeling and analysis from the process test and measurement to tuning of the parasitic extractor engines
Source: Integrated System Design
Yes
Yes
Yes
No
Yes
22786
Sampling of Third Party Design Consultants (continued)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-3
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
Gambit Automated Design San Jose, CA
ASIC
High-density gate arrays, sea of gates, and standard cells
Bipolar & CMOS
Yes
GME Designs Calabasas, CA
ASIC and system/ algorithm
High-speed data communications and broadband links. SCSI
CMOS and GaAs
No
Green Hills Software Santa Barbara, CA
Optimizing compilers and software development tools
Optimizing Ada, C, C++, Fortran and Pascal compilers
N/A
Yes
Guru Technology Santa Clara, CA
Design & Test, EDA tools, ASIC & custom design, DFT, ATPG, fault grading, scan, BIST, JTAG
Graphics, multimedia, processors, networking, chip-sets, ATE
BiCMOS, bipolar, & CMOS
Yes
HighGate Design Saratoga, CA
FPGA & EDA evaluation/ design; Intellectual Property design
High-performance FPGA design; Xilinx PCI FPGA LogiCore customization
HRB Systems State College, PA
ASIC, FPGA, board-level & DSP. High-speed ASIC, FPGA, & PLD system design. DSP design. Data communications hardware design
Idealogy Boulder, CO
Full system design Computer, telecommunications, and video/ All digital verification services for graphics systems. Verilog, VHDL, and technologies multi-ASIC, multiengineer mixed-simulation enviroments design projects. Custom design verification strategies. Device models
Yes
IKOS Systems Cupertino, CA
Design and verification of digital ASICs. Standard and custom productivity enhancement and design services
High-level design, VHDL & Verilog All digital simulation, fault simulation, and emulation. technologies All market segments, including processor/ computer, multimedia/graphics, and telecom/datacom
Yes
Indus Consulting Services Sunnyvale, CA
ASIC, FPGA, DSP, and system
High-speed graphics, video, networks, and Bipolar & CMOS CPUs
Yes
Integrated Circuit Designs Ellicott City, MD
ASIC
Analog and mixed-signal
Bipolar & CMOS
Yes
Integration Associates Mountain View, CA
Mixed-mode ASIC design and manufacture
IR products, line drivers, communication interface, and RF
BiCMOS, CMOS, & complementary DI arrays
No
Intrinsix Westboro, MA
ASIC, FPGA, EDA and system design services provided at customer facility or at an Intrinsix Design Center
VHDL and Verilog
Technolgy and EDA tool independent
Yes
Bipolar, CMOS, ECL, & GaAs
John Cooley Crisis intervention and Verilog, VHDL, DFT, Synopsys, computer, BiCMOS, CMOS, Holliston Poor Farm, MA training in ASIC and FPGA graphics, high-speed, telecommunications, & GaAs (ASIC & and peripherals. Runs ESNUG (Email FPGAs) Synopsys Users Group) Source: Integrated System Design
Yes
No
Yes
22787
Sampling of Third Party Design Consultants (continued)
7-4
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
Jonathan Kanter Santa Cruz & Tahoe City, CA
ASIC, FPGA, and system
DSP, communications, ECC, Viterbi decoders, & digital PLLs
Technology independent
No
KLIC Beaverton, OR
ASIC
Analog, mixed-signal, and JTAG
Bipolar & CMOS
No
Koos Technical Services Longwood, FL
ASIC, FPGA, synthesizable Communications, DSP, error correction, ASIC and FPGA cores, DSP, algorithm development synthesizable embedded software, system, Verilog cores and board
Bipolar & CMOS
Yes
Locus Madison, WI
ASIC, DSP, FPGA, system, and board design
Analog, RF, communications, mixed-signal, BiCMOS, bipolar, medical, embedded software/hardware & CMOS
No
Logic Innovations San Diego, CA
ASIC & FPGA design & integration. High-speed digital/analog/IC, embedded systems, RTOS & turnkey product design. Device drivers & OS development
Custom Verilog & VHDL soft core design, MPEG-2, DSS, multimedia development and test systems. DVB, ATM, & PCI IRD and cable modem design/ Bus customization, & production test fixtures for set-top box systems
Yes
MCNC Research Triangle Park, NC
ASIC
Mixed-signal, biomedical, and telecommunications, and data communications
Bipolar & CMOS
Yes
Mentor Graphics Wilsonville, OR
Systems, ASIC, FPGA, DSP, MCM, high-speed board, systems-on-silicon libraries, architecture to silicon design realization, and synthesizable and hard cores
Wired and wireless communications, DSP, All technologies multimedia, semiconductors, and embedded system cores, memories, and libraries
Yes
Methics Fremont, CA
IC and EDA business consultants
Planning, evaluation, and deployment of libraries, tools, and design data flows
BiCMOS, bipolar, & CMOS
Yes
Microdex San Jose, CA
Full-custom and standardcell ASIC design, design verification, wafer foundry services, timing and delay modeling
Analog, mixed-signal low-voltage CMOS design with embedded and non-volatile memory
CMOS
No
Micro Engineering Morgan Hill, CA
ASIC, FPGA, and board design
Communications, video, and networks
CMOS
Yes
Micronix Integrated Systems Aliso Viejo, CA
ASIC
Full-custom analog and mixed-signal ASIC BiCMOS, bipolar, & CMOS
Yes
Microtec Research Santa Clara, CA
Software device drivers, communications and networking protocols, software language migration, and real-time applications development
Hardware/software interfacing, communications, networking, software languages, real-time operating systems, embedded software development, testing, and debugging
C, C++, & multiple assembler languages
Yes
Mint Technology Boston, MA
An engineering consulting Networks, processors, communications, company specializing in disk drives, audio, mixed-signal, graphics the architectural & more specification, implementation, and testing of complex ASIC & FPGA designs
CMOS
Yes
Source: Integrated System Design
22788
Sampling of Third Party Design Consultants (continued)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-5
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
P&D Engineering Consultants Livermore, CA
ASIC Design, CAD support— Analog and mixed-signal design—RF ID/ verification tools Smart cards, sensor interface, CAD services—chip verification debug & DRC/ LVS rule sets for Vericheck, Dracula, Diva, & ICED
BiCMOS, bipolar, CMOS, & GaAs
Yes
Papillon Research Concord, MA
ASIC, FPGA, and full product ASIC, FPGA, behavioral modeling, analog, BiCMOS, bipolar, design. Provide EMI EMI compliance, mechanical, packaging, CMOS, & GaAs compliance design toward & thermal agency certification
Yes
Phoenix VLSI Northamptonshire, UK
ASIC
BiCMOS, bipolar, & CMOS
Yes
Picco Seattle, WA
ASIC, memory, DSP, Memory, graphics, high-speed, full custom, BiCMOS, bipolar, structured custom, data path, device modeling, and transistor-level CMOS, & GaAs compilers, ALU, simulation, simulation verification
Yes
Plexus Design Solutions Sudbury, MA
ASIC, FPGA design, EDA consulting, language based design, design for test, JTAG, and ATPG consulting
Communications, I/O systems, microprocessor-based designs, semiconductor vendor interfaces, and release design for test
Bipolar & CMOS
Yes
Prescience San Carlos, CA
Specification R&D, design, RTL coding (Verilog or VHDL), test plan creation, testbench coding, code inspection & review, simulation, synthesis backannotation, floorplanning, gate-array or standard-cell placement & routing, & timing analysis
Verification and IP development
CMOS, FPGA, & other digital
Yes
Proxy Modeling Fremont, CA
ASIC, FPGA, system verification, and ASIC synthesis
VHDL system-level modeling & simulation, CMOS large ASIC synthesis, and HDL project methodologies
Qualis Design Beaverton, OR
Design methodology Data communications, video/audio DSP, BiCMOS, bipolar, consulting and deployment. graphics, mixed-signal, large-scale system CMOS, & GaAs HDL and high-level design design and verification training. Consulting in ASIC, FPGA, DSP, and large scale system/board design and verification
Yes
R & E International King of Prussia, PA
ASIC
No
Rapid Prototyping Devices Mountain View, CA
Prototyping of complex ASIC Digital ASIC, FPGA, hardware emulation designs onto multiple FPGA and ASIC prototyping devices, custom prototype development, and system verification
Real Time Design San Diego, CA
Full ASIC design services including top-down, system level using VHDL, Verilog, and synthesis
Graphics processing, communications, CMOS and image processing; 100-900 kgates, 40100MHz digital CMOS
Yes
Reel I.C. Austin, TX
Staffing and mask design consulting
Analog and digital VLSI layout done at our BiCMOS, bipolar, facility or client's & CMOS
Yes
RF, communication and networks, computing, data conversion, DSP video processing, mixed-signal, and test
Mixed-signal, sensors, and automotive
CMOS
Yes
CMOS Yes
Source: Integrated System Design
22789
Sampling of Third Party Design Consultants (continued)
7-6
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
Reining International Madison, WI
ASIC, DSP, board, Smart sensor, and system
Mixed-signal, low power, analog, RF communications, and high voltage
BiCMOS, bipolar, CMOS, DMOS, GaAs, & MEMS
Yes
Sandstrom Engineering Manhattan Beach, CA
ASIC, DSP, FPGA, systems using Verilog and VHDL
Computer architectures using VHDL and Verilog
Bipolar, CMOS, & ECL
Yes
Seva Technologies Fremont, CA
Training, library development, Communications, high-speed graphics, design methodology, disk drives, computer peripherals, and modeling & verification, network applications design retargeting and migration, & layout services
BiCMOS & CMOS
Yes
Signal Processing Group Chandler, AZ
Analog & mixed-signal integrated circuit design & development from specification & delivery
Translation of customers requirements into state-of-the-art analog and mixedsignal designs utilizing leading-edge fabrication and assembly partners
BiCMOS, bipolar, biCDMOS, CMOS, & SOI
Yes
Silicon & Software Systems Full custom, standard cell/ San Jose & San Diego, CA gate array ASIC, analog, board design, FPGA, system architecture definition & modeling, embedded software, & test vector development
Video, audio, telecommunications, DSP, VHDL, Verilog, Synopsys, IKOS, DFT, hardware/software codesign, complex ASICs, and turnkey system design
BiCMOS & CMOS
No
Silicon Engineering (SEI) Scotts Valley, CA
Digital/analog IC design services & technology licenses. Full custom, standard cell, gate array, libraries, & cores. Authorized design center for IBM Microelectronics, Lucent, and LSI Logic
High performance, complex graphics, BiCMOS, bipolar, Microsoft Talisman multi-media, CMOS, & GaAs communications, mass storage, consumer electronics, analog and memory design
Yes
Silicon Sorcery Santa Clara, CA
Design verification of ASIC, FPGA, DSP, system, board design, other
Communications, high-speed graphics, networking, and CPU
BiCMOS, bipolar, CMOS, & GaAs
Yes
Silicon Technology Labs San Diego, CA
ASIC, FPGA, system board design, DSP, PCB, military and commercial standards
Imaging, neural nets, graphics, communications, and video
BiCMOS, bipolar, CMOS, analog & mixed-signal
Yes
SoftChip San Jose, CA
Gate arrays and standard PC and memory interfaces, portable cell, SPEC to tapeout, embedded microcomputer applications, architecture and logic design, graphics, and video Verilog, synthesis, design for visibility, power analysis & low-power techniques
CMOS
Yes
Starburst Technologies Orlando, FL
Firefighting, complex turnkey Design process, DSP, Radhard, JTAG, ASIC, semi-custom IC, BIST, BIT, ATPG, scan, Verilog and VHDL, concept to silicon product static timing, and lddq test development design, foundry sourcing & interface, simulation, test, VHDL & Verilog, and more
BiCMOS, & CMOS
Yes
SunMan Engineering San Jose, CA
Circuit and system design, High-speed, mixed analog, impedance product development & control, communication, robotics, audio, prototyping, schematic and video capture, PCB layout & layout simulation, fabrication, assembly, and kitting
N/A
Yes
Source: Integrated System Design
22790
Sampling of Third Party Design Consultants (continued)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-7
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
Sunshine Semiconductor Newport Beach, CA
ASIC, low and high voltage, mixed-signal, & nonvolatile
Full custom
CMOS
No
Synopsys Logic Modeling Beaverton, OR
ASIC, FGPA, DSP, system, and board design
Test and verification
BiCMOS, bipolar, & CMOS
No
SynTest Technologies Sunnyvale, CA
Test consulting services, Fault simulation, full-scan, partial-scan, including design for test, test non-scan, pattern compaction, boundary methodologies, and test scan, & RAM BIST coverage enhancement
All technologies
Yes
Systemchip USA Los Gatos, CA
Turnkey design of mixedsignal ASIC (analog, digital, DSP, VHDL) CAD support process characterization yield and reliability analysis
BiCMOS, bipolar, & CMOS
Yes
Talcian (Formerly Timothy Davis Consulting) Broomfield, CO
Turnkey ASIC, FPGA, system, Telecommunications, 3-D board design, crisis graphics, disk and tape interfaces, intervention, VHDL & Verilog video, and remote monitoring training, HDL design reviews, & feasibility analysis
CMOS
Yes
Talking Star Designs Corralitos, CA
ASIC & FPGA
Communications, IrDA, SDRAM control, and digital video
Bipolar & CMOS
No
Ted Bee Design San Jose, CA
ASIC & custom IC
Analog
BiCMOS, bipolar, & CMOS
No
Top-Vu Technology St. Paul, MN
IC design, ASIC, and Smart sensors
Mixed-signal, micro-sensors, full custom, & ASIC
GaAs, MEMS, & compound semiconductors
Yes
TSMC Hsin-Chu, Taiwan, R.O.C.
ASIC
Communications, video, networks, mixedsignal & test
CMOS
Yes
Turgeon Engineering Reading, PA
ASIC design—feasibility, specifications, design, simulation, layout, test, development and documentation
Analog CBIC specialist—amplifiers, drivers, comparators, regulators, reference, detectors, filters, A/D, D/A, & ECL
Bipolar
Yes
Valence Systems Cupertino, CA
ASIC, library development, DSP, FPGA model development, Physical IC design and verification, & technology assessment
DSP, general digital design using Verilog, and Synopsys synthesis, Analog (D/A & A/D), mixed-signal, communications, & disk drives
CMOS & GaAs
No
VAutomation Nashua, NH
Synthesizable HDL cores and Microprocessors and serial protocols. turnkey ASIC design Embedded systems for consumer, medical, and industrial control applications
Technology independent
No
Viewlogic Systems Marlboro, MA
Customized EDA tool development, ASIC/systems library and model development, data and library management audit, integration services, and consulting
Low-voltage micropower CMOS and bipolar, telecommunications, ATE, & instrumentation
Timing analysis, signal integrity, test/DFT, EDA software & simulation, verification, hardware-software services co-design, ASIC/complex IC/high-speed PCB process audits
Yes
Source: Integrated System Design
22791
Sampling of Third Party Design Consultants (continued)
7-8
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Services Offered
Area(s) of Specialization
Technologies Process
Training Offered?
White Eagle Systems Technology San Jose, CA
ASIC and FPGA design and test, DSP system and software design, embedded system and software design, and board design
Willamette, HDL Beaverton, OR
System, board, and ASIC Test, mixed-level simulation, design. HDL-based design, communications, high-speed graphics, mixed-level simulation, disk drives, & networks design data management and design for test
Logic validation for bipolar, CMOS, & ECL designs
Yes
WSFDB Consulting Medway, MA
System architectural design, ASIC design, ASIC design methodologies, & problem resolution
CMOS & GaAs
Yes
Wyle Electronics Irvine, CA
Turnkey PLD, FPGA, & ASIC Communications, graphics, video, designs, PLD/FPGA "fit & networks, synthesis, and VHDL performance" evaluations, PLD/FPGA to/from ASIC translations, SCAN & JTAG boundary scan with ATPG, & fault grading & accelerated simulations
Bipolar & CMOS
Yes
Zeidman Consulting Cupertino, CA
Hardware—system, board, FPGA, and ASIC design. Software—firmware, drivers, diagnostics, & applications
Zurich Design Laboratories ASIC, FPGA, system, and Hoffman Estates, IL board
Zycad Fremont, CA
Turnkey design services, high-speed DSP, BiCMOS, bipolar, low-power design, embedded CMOS, & MCM control, ASIC verification & test, PC/104, CAN, device net, & medical net
Buses, caches, SCI, computer arithmetic, fault-tolerance, communications, DSP, graphics, compression, & DesignWare
Yes
Embedded systems, communications, Bipolar, CMOS, networks, and high-speed digital systems ECL, ect.
Yes
Signal processing, forward error correction (Reed-Solomon and Viterbi), communications, video, analog, & mixedsignal
BiCMOS, bipolar, CMOS, & GaAs
No
Bipolar & CMOS
Yes
Consulting, engineering, PC design, telecommunications, DSP, fault simulation, rapid automotive and avionics electronics prototyping, performance modeling, system simulation, custom test, custom tool development & integration
Source: Integrated System Design
22792
Sampling of Third Party Design Consultants (continued)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-9
ASIC Vendor Directory
High-level entry
Behavioral simulation
Alta Group Foster City, CA
X
X
Anacad Milpitas, CA
X
X
Company
Synthesis
Gate-level simulation
Avant! Sunnyvale, CA Cadence Design Systems San Jose, CA
X
X
X
X
Cascade Design Automation Bellevue, WA Chronologic Simulation Los Altos, CA Compass Design Automation San Jose, CA
X
Floor planning
X
X
X
X
X
X
X
X
Power analysis
Thermal analysis
X
X
X
Escalade Sunnyvale, CA
X
Frontline Design Automation San Jose, CA
X
X
IKOS Systems Cupertino, CA
X
X
InterHDL Los Altos, CA
X
X
X
X
X
X
X
X
X
Interconnectix Portland, OR X
X
X
X
X
X
X
Meta-Software Campbell, CA
Nextware San Jose, CA
X
X
Intusoft San Pedro, CA
Model Technology Inc Beaverton, OR
X
X
High Level Design Systems Santa Clara, CA
Mentor Graphics Wilsonville, OR
X
X
Epic Design Technology Santa Clara, CA
Intergraph Electronics Huntsville, AL
Design flow/ project management
X
Cooper & Chyan Technology Cupertino, CA
I-Logix Burlington, MA
SI analysis
X
X
X
Timing analysis/ verification
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Source: EDN/ICE
20208A/20209A
Representative Submicron Design Tools
7-10
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
High-level entry
Behavioral simulation
Synthesis
Pendulum Design Waltham, MA
Gate-level simulation
Power analysis
Thermal analysis
SI analysis
Design flow/ project management
X
Quad Design Camarillo, CA
X
Silerity Walnut Creek, CA X
X
X
X
Summit Design Beaverton, OR
X
Synopsys Mountain View, CA
X
X
Synplicity Mountain View, CA
X
X
Systems Science Palo Alto, CA
X
3Soft Santa Clara, CA
X
Vantage Analysis Systems Fremont, CA
X
X
X
Simucad Union City, CA
Viewlogic Systems Marlborough, MA
Timing Floor analysis/ planning verification
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
Source: EDN/ICE
20210A
Representative Submicron Design Tools (continued)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-11
ASIC Vendor Directory
ASIC VENDOR DIRECTORY TABLE OF CONTENTS
Company
Page Gate Number Arrays
Analog Arrays
PLDs
Standard Design Cells House
Actel Corporation
7-16
♦
Advanced Micro Devices
7-17
♦
Alcatel Mietec
7-19
Altera Corporation
7-20
AMI Corp.
7-21
♦
♦
Applied Micro Circuits Corp.
7-22
♦
♦
ASPEC Technology
7-23
♦
♦
Atmel Corporation
7-25
♦
Austria Mikro Systeme Int'l
7-27
Chip Express
7-29
♦
CROSSMOS
7-30
♦
Crosspoint Solutions
7-31
CSEM IC Design
7-32
Custom Silicon
7-33
Cypress Semiconductor
7-34
Dassault Electronique
7-36
Dectroswiss Electronic Design
7-37
♦
Dialog Semiconductor
7-38
♦
Dolphin Integration
7-39
♦
EASICS N. V.
7-40
Electronic Technology
7-41
MELEXIS (Formerly ELEX Research)
7-42
EM Microelectronic-Marin SA
7-43
♦
♦
Epson Europe Electronics GmbH
7-44
♦
♦
Exar Corporation
7-45
Faraday Technology
7-46
♦
♦
Fujitsu
7-47
♦
♦
GEC Plessey Semiconductor
7-48
♦
♦
Genesis Microchip Incorporated
7-50
♦
♦
Gennum Corporation
7-51
7-12
♦ ♦
♦
♦ ♦ ♦
♦ ♦ ♦
♦
♦ ♦ ♦ ♦ ♦ ♦ ♦ ♦
♦
♦ ♦
♦
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Page Gate Number Arrays
Analog Arrays
PLDs
♦
Standard Design Cells House ♦
Harris Semiconductor
7-52
Hewlett-Packard
7-53
Hitachi
7-54
♦
♦
HMT Microelectronics
7-55
♦
♦
Honeywell
7-57
♦
Hughes Aircraft Company
7-58
♦
IBM Microelectronics
7-59
♦
ICT
7-60
♦
IMP, Inc.
7-61
♦
IMS
7-62
Integrated Circuit Systems
7-63
Integrated Logic Systems
7-64
Integrated Micro Systems Ltd.
7-65
Kawasaki Steel
7-67
Lattice Semiconductor
7-68
LG Semicon
7-70
♦
♦
LSI Logic
7-71
♦
♦
Lockheed Martin Federal Systems
7-73
♦
♦
Lucent Technologies
7-75
♦
M/A-COM
7-77
Matra MHS
7-78
MATRICS Ltd.
7-79
Maxim Integrated Products
7-80
Medtronic Micro-Rel
7-81
Memotec AG
7-82
Mentor Graphics
7-83
Micro Linear
7-84
Micro Networks Corporation
7-85
Mitel Semiconductor
7-86
Mitsubishi Electronics
7-87
♦
Motorola
7-88
♦
NEC Electronics
7-89
♦
INTEGRATED CIRCUIT ENGINEERING CORPORATION
♦
♦
♦ ♦ ♦
♦
♦
♦ ♦
♦
♦
♦
♦ ♦
♦ ♦
♦
♦
♦ ♦
♦
♦
♦
♦ ♦
♦
♦ ♦
♦
♦
♦
♦ ♦
♦
♦ ♦ ♦
♦
♦ ♦ ♦
♦
♦
7-13
ASIC Vendor Directory
Company
Page Gate Number Arrays
Analog Arrays
PLDs
Standard Design Cells House ♦
Nordic VLSI
7-91
Oki Semiconductor
7-92
♦
Orbit Semiconductor
7-94
♦
Panasonic Industrial
7-95
♦
Philips Semiconductors
7-96
Phoenix VLSI Consultants Ltd.
7-97
PREMA PrŠzisionselektronik
7-98
QuickLogic
7-99
Ricoh
7-100
♦
Rohm
7-101
♦
Rood Technology
7-102
♦
S-MOS Systems
7-104
♦
♦
Samsung
7-105
♦
♦
Sanyo Semiconductor
7-106
♦
Seattle Silicon
7-107
SGS-Thomson Microelectronics
7-108
♦
Sharp Electronics
7-109
♦
Siemens
7-110
♦
Silicon & Software Systems
7-111
♦
Sipex Corporation
7-113
SIS Microelectronics
7-114
♦
Sony Corporation
7-115
♦
Swindon Silicon Systems
7-116
♦
Symbios Logic
7-117
Texas Instruments
7-118
♦
Thesys Microelectronics
7-119
♦
♦
TLSI Incorporated
7-120
♦
♦
Toshiba America Electronics
7-121
♦
♦
TriQuint Semiconductor
7-122
United Technologies Micro.
7-123
Universal Semiconductor
7-124
Vitesse Semiconductor
7-125
7-14
♦
♦ ♦ ♦ ♦ ♦ ♦ ♦
♦ ♦
♦
♦
♦
♦
♦ ♦
♦
♦ ♦
♦
♦
♦
♦
♦
♦
♦
♦ ♦
♦
♦ ♦ ♦ ♦
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Company
Page Gate Number Arrays
VLSI Technology
7-127
Xilinx Incorporated
7-128
ZMD
7-130
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Analog Arrays
PLDs
♦
Standard Design Cells House ♦
♦ ♦
♦
7-15
ASIC Vendor Directory
Name: Actel Corporation Address: 955 E. Arques Ave. Sunnyvale, CA 94086-4533
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 739-1010 (408) 739-1540 www.actel.com Bruce Weyer FPGA Product Manager FPGAs Supplier
Company Philosophy: Actel is the leading manufacturer of anti-fuse based FPGAs and associated CAE software development systems. PLDs Product
Technology
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 1.0
ACT1 Value Series ACT2 Value Series ACT3 Accelerator Series 1200XL Integrator Series 3200DX Integrator Series
2 Metal
1200-2000
34-69
na
CMOS
1.0
2 Metal
2500-8000
83-140
CMOS
0.8
2 Metal
1500-10,000
CMOS
0.6
2 Metal
CMOS
0.6
2 Metal
Second Source
na
Military Temperature Range Yes
na
na
Yes
na
80-228
na
na
Yes
na
2500-8000
83-140
na
na
No
na
6500-40,000
126-288
na
na
No
na
na
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
na
IBM PC 386/486, Sun, HP 700
Cadence, Mentor, OrCAD, Viewlogic, Synopsys, Synplicity, Data I/O, MINCIST, Exemplar, Escalade
7-16
Gate Array NRE, Prototype Turnaround ($K) (Weeks) na 2 Hours
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) na na
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Advanced Micro Devices Address: 901 Thomson Place, MS 1028 P.O. Box 3453 Sunnyvale, CA 94088-3453
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 749-6248 (408) 987-2841 www.amd.com Gordan Hans Strategic Marketing Engineer PLDs Manufacturer
Company Philosophy: Manufacture the fastest, highest performance, most feature rich programmable logic devices in low to mid density. Be the speed technology leader in this market. PLDs Product
Technology
Based
Registered/Non Registered Prop. Delay (ns) 7-20
Package Type
Design Tools
Second Source
MACH1XX
CMOS
EEPROM
PLCC, TQFP
None
7-20 12-20
" "
Bipolar
EEPROM EEPROM 0.65µm0.8µm TTL
10-35
Ceramic and Plastic DIP, PLCC
Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar Bipolar
TTL TTL TTL TTL TTL TTL TTL TTL TTL TTL TTL TTL
4 5 7.5 7-25/10-35 6.5/7.5 10 12-25/15-35 8/10 na/15,25 15,25/25,35 10 10/15
PLCC PLCC, Plastic DIP Ceramic and Plastic DIP, PLCC " " " " " " " PLCC, Plastic DIP Ceramic and Plastic DIP, PLCC
PALASM (AMD), ABEL (Data I/O), CUPL (Logical Devices), PLDesigner (Minc) Ò PALASM (AMD), ABEL (Data I/O), CUPL (Logical Devices), PLDesigner (Minc), MACHXL PALASM (AMD), ABEL (Data I/O), CUPL (Logical Devices), PLDesigner (Minc) " " " " " " " " " " " "
MACH2XX MACH4XX
CMOS CMOS
PAL16L8
PAL16L8-4 PAL16L8-5 PAL16L8-7 PAL16R4,6,8 PAL16R4,6,8-7 PAL20L8-10 PAL20R4,6,8 PAL20R4,6,8-10 PAL22P10 PAL22V10 PAL22V10-10 PAL22V10-15, -10,-7 PALCE16V8H
EE CMOS
EEPROM
5/7/10/15/25
"
"
PALCE16V8Q
EE CMOS
EEPROM
10/15/25
"
"
INTEGRATED CIRCUIT ENGINEERING CORPORATION
None None
National, TI
None TI None National, TI None TI National, TI TI None TI None None Lattice, National, SGS-Thomson Lattice, National, SGS-Thomson
7-17
ASIC Vendor Directory
Advanced Micro Devices (continued) PLDs (continued) Product
Technology
Based
Package Type
Design Tools
Second Source
EEPROM
Registered/Non Registered Prop. Delay (ns) 5/7/10/15/25
PALCE20V8
CMOS
Ceramic and Plastic DIP, PLCC
Lattice, National, SGS-Thomson
EE CMOS
EEPROM
5/7/10/15/25
PLCC, Plastic DIP
PALASM (AMD), ABEL (Data I/O), CUPL (Logical Devices), PLDesigner (Minc) "
PALCE20V8H PALCE20V8Q
EE CMOS
EEPROM
10/15/25
Ceramic and Plastic DIP, PLCC
"
PALCE22V10H15,-10,-7,-5 PALCE22V10Q25,-15,-10 PALCE26V12H PALCE29M16H PALCE29MA16H PALCE610 PALCE22V10Z PALLV22V10Z (3.3V) PALCE16V8Z PALLV16V8Z PALLV16V8
CMOS
EEPROM
5/7/10/15/25
"
"
CMOS
EEPROM
10/15/25
"
"
CMOS CMOS CMOS CMOS EE CMOS EE CMOS
EEPROM EEPROM EEPROM EEPROM EEPROM EEPROM
15/25 25 25 15/25 15/25 25
" " " Plastic DIP, PLCC Plastic DIP, PLCC, SOIC "
" " " " " "
Lattice, National, SGS-Thomson Lattice, National, SGS-Thomson Atmel, Lattice, Cypress Atmel, Lattice, Cypress None None None Altera None None
EE CMOS EE CMOS EE CMOS
EEPROM EEPROM EEPROM
15/25 20 10
" " "
" " "
None None None
7-18
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Alcatel Mietec Address: Westerring 15 B-9700 Oudenaarde Belgium
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
+32 55 33 24 35 +32 55 31 81 12 Eric Schutz Business Development Director CMOS and BiCMOS Cell Libraries Manufacturer
Company Philosophy: Continue as Europe's leader in mixed-mode ASICs and expand standard product offerings in telecommunication ICs. STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 0.5
CMOS 0.5 Micron Family
2 Poly, 3 Metal
0.5
CMOS 0.7 Micron Family
CMOS
0.7
1 Poly, 2 Metal
CMOS 1.2 Micron Family CMOS 2 Micron Family
CMOS
1.2
CMOS
2.0
1 Poly, 2 Metal 2 Poly, 2 Metal
BiCMOS
3.0
BiCMOS
3.0
SP-RAM, DP-RAM, ROM, Datapath, Multiplier, FIFO, A/D and D/A Converters, ASFBs SP-RAM, DP-RAM, ROM, Datapath, Multiplier, FIFO, A/D and D/A Converters RAM, ROM, PLA Compilers, A/D and D/A Converters RAM, ROM, PLA Compilers, Switched Capacitor Filter Compiler, A/D and D/A Converters Switched Capacitor Filter Compiler, A/D and D/A Converters "
DBIMOS 100V Family SBIMOS 40V Family
1 Poly, 2 Metal 1 Poly, 2 Metal
0.9
Military Temperature Range Yes
SGS-Thomson
0.5
3.2
Yes
SGS-Thomson
0.7
5.0
Yes
SGS-Thomson
1.6
2.0
Yes
None
0.9
na
Yes
None
0.9
na
Yes
None
Second Source
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Netlist, GDS II
Sun SPARC, VAX
Cadence, Synopsys, Mentor, MADE (proprietary), Verilog, Valid, Motive
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) na na
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 50-500 na
7-19
ASIC Vendor Directory
Name: Altera Corporation Address: 2610 Orchard Parkway San Jose, CA 95134-2020
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 894-7000 (408) 435-1394 www.altera.com Cliff Tons Director, Product Marketing Programmable Logic Devices Supplier
Company Philosophy: Be the preeminent supplier of programmable silicon solutions to the electronics industry through product leadership, excellent value, and superior quality and service. Emphasis on the MAX 7000, MAX 8000, FLEX 9000, and FLEX 10K programmable logic families. FLEX 10K is the first Embedded Programmable Logic family. PLDs Product
Technology
Based
FLEX 10K MAX 9000 FLEX 8000 MAX 7000 Flashlogic
CMOS CMOS CMOS CMOS CMOS
5-20 10-15
MAX 5000
CMOS
SRAM EEPROM SRAM EEPROM EPROM/ SRAM, Flash EPROM
Classic
CMOS
EPROM
10-70
7-20
Registered/Non Registered Prop. Delay (ns) 12-20
15-35
Package Type
Design Tools
Second Source
PLCC, TQFP, PQFP, RQFP, PGA PLCC, RQFP, PGA PLCC, TQFP, PQFP, RQFP, PGA PLCC, PQFP, TQFP, PGA, RQFP PLCC, PQFP
MAX+PLUS II " " " PLD shell PLUS
None None None None None
MAX+PLUS II
Cypress
"
None
CDIP, PDIP, JLCC, PLCC, PGA, SOIC, PQFP CDIP, PDIP, PLCC, SOIC, PGA
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: AMI Corp. Address: 2300 Buckskin Rd. Pocatello, ID 83201
(208) 233-4690 (208) 234-6796 www.amis.com Tamera Drake ASIC Product Marketing CMOS Gate Arrays, Mixed-Signal Standard Cells, and PEEL Array Devices ASIC Vendor Type: Manufacturer Telephone: Fax: Web Site: Contact: Title: Products:
Company Philosophy: AMI provides a full range of gate array, standard cell, and mixed-signal ASICs, mixed-signal and digital ASIC design software and services, and modular foundry services. AMI's products are supported with a library of more than 500 digital cells and megacells. GATE ARRAYS Product
Technology
AMI6G Series AMI8G Series GDx Series
CMOS CMOS CMOS
Product
Technology
AMI65
Line Width (µ) 0.6 0.8 1.0
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
3/2 3/2 3/2
2,000-800,000 5,300-658,000 1000-150,000
44-736 68-732 40-440
0.14 0.20 0.39
2.7 3.2 3.6
Military Temperature Range Yes Yes Yes
Second Source
na na na
STANDARD CELLS Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 0.6
3/2
0.13
AMI8S
CMOS
0.8
3/2
ABX Std. Cells
CMOS
3.0/1.5
2
1µm Std. Cells
Si-Gate CMOS
1.0/0.8
2
DSP, RAM, ROM, PLL, FIFO, Microcontroller, Peripheral Controllers DSP, RAM, ROM, 82xx Family, 29xx Family, FIFO, Microcontrollers, Peripheral Controllers DSP, RAM, ROM, PLA, D/A, A/D, Filters, Op Amps, Analog, Custom Cells DSP, RAM, ROM, 82xx Family, 29xx Family, FIFO, Microcontrollers
Second Source
2.5
Military Temperature Range Yes
0.20
3.1
Yes
na
0.70
4.0
Yes
na
0.39
3.6
Yes
na
na
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Generic, Converted, Netlist, Layout
HP, Sun, PC (Windows 3.X, Windows 95, NT)
Mentor, IKOS, Viewlogic, Intergraph, Verilog, Synopsys, Compass, Model Technologies, Veribest, Motive
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 10 and up 4
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 20 and up 6
7-21
ASIC Vendor Directory
Name: Applied Micro Circuits Corp. Address: 6195 Lusk Blvd. San Diego, CA 92121-2729
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(619) 535-6520 (619) 450-9885 www.amcc.com Brent Little Marketing Manager Bipolar Logic Arrays, ASSPs Manufacturer
Company Philosophy: Emphasis on high-performance ECL and logic arrays, custom circuits, and ASSPs. Continued high service level through comprehensive CAE/CAD tools, custom macros, quick-turn design, and package flexibility. GATE ARRAYS Product
Technology
Q20000
Bipolar Trench Isolation
Product
Technology
MicroPower
Bipolar Trench Isolation
Line Width (µ) 1.0
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
3 Metal
500-19,000
28-198
0.09
0.5
Military Temperature Range Yes
Second Source
No
STANDARD CELLS Line Width (µ) 1.0
Wiring Layers
Complex Cells
3 Metal
Propagation Delay (ns)
Power (µW)
0.04
0.056
Military Temperature Range No
Second Source No
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Netlist
Gate Ensemble
Mentor, Laser 6, Synopsys
7-22
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 25-100 8-12
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 75-200 16-24
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: ASPEC Technology, Inc. Address: 830 East Arques Ave. Sunnyvale, CA 94086
Telephone: Fax: Products: ASIC Vendor Type:
(408) 774-2199 (408) 522-9450 Gate Arrays and Standard Cell Libraries ASIC Technology Supplier
Company Philosophy: ASPEC Technology, Inc. is a pioneer and leader in providing Open Design Implementation Technology (DIT) to ASIC vendors, IC companies and systems houses. Open Design Implementation provides a standard, open link between design automation and silicon manufacturing. Although many semiconductor companies have proprietary DIT, ASPEC promotes and leads the category for open DIT tools. ASPECÕs Open DIT is based upon its patented High Density (HD) architectures and has been adopted by major semiconductor companies, including industry leaders such as IDT, National Semiconductor, Samsung, and Winbond. ASPECÕs Products and Services ASPECÕs business model is uniquely focused in the DIT space. Therefore, unlike other competitors who try to offer both DIT and EDA offerings, ASPECÕs products and services are targeted to solve customer issues within the DIT area. •
HDA architecture licensing: ASPEC provides a range of HD Architecture for gate arrays, standard cells and embedded arrays that have been proven in more than 500 design. These benchmark winning architectures deliver 27% to 60% higher density than competitive architectures with up to 50% lower power consumption and up to 15% higher performance. ASPECÕs patented technology has been licensed to more than 30 processes and four generations of process technologies ranging from 0.8µm to 0.35µ technology with a path to 0.1µ.
¥
Libraries & design kits: ASPECÕs physical libraries and design kits provide support for the HD architecture family. Libraries support synthesis, simulation and layout and they interface with major third-party EDA tools and silicon manufacturers. ASPEC also provides front- and back-end libraries targeted for ASIC manufacturing, including gate array masterslices and macrocell characterization tools. Customers can purchase existing libraries and kits from ASPEC, and if requested ASPEC can develop a new, customer specific design kit within 45 days.
•
Design portability: ASPEC can reduce IC process porting to hours with their layout-level porting software, QuickPort. QuickPort solves the time-to-volume and silicon cost issue by porting the IC design at the layout level to the target process, and optimizing for the target process parameters. In less than one hour per 100,000 gates, QuickPort produces a new layout for the design team to verify timing and quickly go to production.
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-23
ASIC Vendor Directory
ASPEC Technology, Inc. (continued) •
Design software: ASPEC supports all popular EDA software products allowing their customers to continue working in existing, productive environments. ADVER Pro is the key to this interface, providing design modeling and management software that links EDA and DIT.
•
Design services: ASPEC is committed to providing excellent customer design assistance from start-to-finish. ASPEC takes on more than 20 designs each year, requiring that their engineers have a thorough knowledge of all EDA tools and foundries specified by their customers.
7-24
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Atmel Corporation Address: 2125 O'Nel Drive San Jose, CA 95131
(408) 436-4205 (408) 436-4300 www.atmel.com Jeff Katz Vice President of Marketing Gate Arrays and Programmable Logic Devices, Standard Cell ASICs ASIC Vendor Type: Manufacturer Telephone: Fax: Web Site: Contact: Title: Products:
Company Philosophy: Atmel offers high density, high speed gate arrays and embedded arrays in 0.35µm, 0.5µm and 0.6µm CMOS technology. Coupled with AtmelÕs extensive library of macrocells, memory, and I/O, these gate arrays offer customers digital system-on-a-chip capabilities. AtmelÕs gate array families provide quick time to market solutions. GATE ARRAYS Product
Technology
Programmable Layers
Gates
I/Os
CMOS
Line Width (µ) 0.35
ATL35
4
Up to 4,300K
ATL50
CMOS
0.5
3
Up to 600K
ATL60
CMOS
0.6
3
Up to 600K
Up to 1,000 Up to 472 Up to 472
Propagation Delay (ns) (F/O=2)
[email protected]
Power (µW/Gate/MHz)
Second Source
0.8
Military Temperature Range No
[email protected]
1.0
Yes
None
[email protected]
1.6
Yes
None
None
PLDs Product
Technology
Based
Package Type
Design Tools
Second Source
EEPROM
Registered/Non Registered Prop. Delay (ns) 7.5-2.5
ATF16V8B/BL
CMOS
PDIP, PLCC, SOIC, CERDIP, LCC
Many
EEPROM EEPROM EEPROM EPROM
10-25 7.5-25 10-25 7-25
PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC
ABEL (Data I/O), CUPL (Logical Devices), Viewlogic, Minc, LOG/iC (ISDATA) " " " "
ATF16V8BQ/BQL ATF20V8B/BL ATF20V8BQ/BQL AT22V10/L
CMOS CMOS CMOS CMOS
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Many Many Many Many
7-25
ASIC Vendor Directory
Atmel (continued) PLDs (continued) Product
Technology
Based
CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS
EEPROM EEPROM EPROM EPROM EPROM EEPROM EPROM EPROM EPROM EPROM EPROM EPROM
ATF22V10B/BL ATF22V10BQ/BQL ATV750/L ATV70B/BL ATLV750B/BL(3V) ATFV1500/L ATV2500H/L ATV2500B/BL ATV2500B/BQL ATLV2500B/BL ATV5000/L ATV5100/L
Registered/Non Registered Prop. Delay (ns) 7.5-25 10-25 20-30 7.5-25 15-25 7.5-25 15-25 12-20 20-25 25-35 25-35 25-35
Package Type
Design Tools
Second Source
PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PDIP, PLCC, SOIC, CERDIP, LCC PLCC, TQFP PDIP, PLCC, CERDIP, LCC, JLCC PDIP, PLCC, CERDIP, LCC, JLCC PDIP, PLCC, CERDIP, LCC, JLCC PDIP, PLCC, CERDIP, LCC, JLCC PLCC, JLCC, PGA PLCC, JLCC, PGA
" " " " " " " " " " " "
Many Many Many None None None None None None None None None
STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propogation Delay (ns)
CMOS
Line Width (µ) 0.8
ECPD07
2 Metal
0.12
AT55K AT56K AT19.9K
CMOS CMOS CMOS with NVM
0.5 0.35 0.8 Logic 0.6 NVM
3 Metal 3-5 Metal 2 Metal
AT55.8K
CMOS with NVM CMOS with NVM
0.5 Logic 0.6 NVM 0.35 Logic 0.35 NVM
3 Metal
SRAM, ROM, AVR (8-bit RISC), 8051, ARM7TDMI, SPARC, Oak, Lode, Audio Codec, Multimedia Codec, 5th Order bessel low-pass filter, IQDAC, Numerous ADCs & DACs, USB, PCMCIA, PCI, USART, Real Time Clock, Interupt Controller, CounterTimer, Comparators, Op. Amp., Multiplexer, Osc., PLL, Bandgap Ref., Polysilicon Fuse Cell, Power on Reset " " Flash, SRAM, ROM, AVR (8-bit RISC), 8051, ARM7TDMI, SPARC, Oak, Lode, Audio Codec, Multimedia Codec, 5th Order bessel low-pass filter, IQDAC, Numerous ADCs & DACs, USB, PCMCIA, PCI, USART, Real Time Clock, Interupt Controller, Counter-Timer, Comparators, Op. Amp., Multiplexer, Osc., PLL, Bandgap Ref., Polysilicon Fuse Cell, Power on Reset "
3-5 Metal
"
AT56.35K
Power Military (µW/Gate/MHz) Temperature Range 4 Yes
Second Source na
0.07 0.06 0.12
1 0.5 3.2
Yes Yes Yes
na na na
0.07
1
Yes
na
0.06
0.5
Yes
na
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
VHDL/Verilog-HDL Netlist and Vectors
Model Tech, Synopsys, Mentor Cadence Synopsys Mentor Viewlogic Veribest High Level Design
Sun, HP Sun, HP Sun, HP Sun, HP Sun, HP, PC Sun, PC Sun, HP
Floor Planning
7-26
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 15-200 2-8 15-200 2-8
15-200
2-8
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) na na na na
na
INTEGRATED CIRCUIT ENGINEERING CORPORATION
na
ASIC Vendor Directory
Name: Austria Mikro Systeme International AG Address: Schloss PremstŠtten A-8141 UnterpremstŠtten Austria
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
+43 3136 500 277 +43 3136 500 491 Dr. Conrad Heberling Corporate Communications Manager ASICs Manufacturer
Company Philosophy: Austria Mikro Systeme International AG, or AMS, specializes in the development and production of ASICs. The company provides a continuum of custom and semicustom MOS/VLSI capabilities, including full custom circuits, standard cells, and high performance analog and digital cells in CMOS and BiCMOS technologies. AMS offers its customers its integrated design environment facility and its full in-house silicon foundry service. AMS' "all under one roof" strategyÑresearch and development, design engineering, mask lithography, wafer fabrication, assembly and testÑhas placed the company in a strong position within the market segments telecommunications, automotive, and industrial electronics. All AMS quality assurance activities are based upon ISO 9001 since AMS holds the European CECC 90 000 certification. Austria Mikro Systeme has participation in SAMES (South African MicroElectronic Systems) and in Thesys Gesellschaft fŸr Mikroelektronik in Germany - both ASIC specialists. The strategy of the Group, under the slogan Òvertical globalÓ,(i.e., to work and communicate in the same time zone and therefore to be present for the customer), provides the AMS Group with the possibility of opening additional markets in Europe and other continents and to utilize its know how worldwide. STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propagation Delay (ns)
BiCMOS BiCMOS BiCMOS CMOS
Line Width (µ) 1.2 0.8 0.8 1.2
BAE BYB BYE CAB
2 Metal 2 Metal 2 Metal 2 Metal
CAE CAQ CBB
CMOS CMOS CMOS
1.2 1.2 2.0
2 Metal 2 Metal 2 Metal
CBD CBE CBF CBH CBM CBO CBQ CBR
CMOS CMOS CMOS CMOS CMOS CMOS CMOS CM OS
2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0
2 Metal 2 Metal 2 Metal 2 Metal 1 Metal 2 Metal 2 Metal 2 Metal
CBS CBT CBY CBZ CUB CYB CZB CZE
CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS
2.0 2.0 2.0 2.0 0.6 0.8 1.0 1.0
2 Metal 2 Metal 2 Metal 2 Metal 2 Metal 2 Metal 2 Metal 2 Metal
RAM, ROM-Generators 3.0 GHz 2.0 GHz Analog-Lib, Filters, A/D and D/A Converters, RAM, ROM-Generators " High Resitive Poly Analog-Lib, Filters, A/D and D/A Converters, RAM, ROM-Generators " " " " " " High Resitive Poly Analog-Lib, Filters, A/D and D/A Converters, RAM, ROM-Generators " Max 50V " " " RAM, ROM-Generators " "
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Power (µW)
Second Source
0.22 0.18 0.18 0.25
Military Temperature Range Yes Yes Yes Yes
0.25 0.25 0.35
Yes Yes Yes
None None None
0.35 0.35 0.35 0.35 0.35 0.35 0.35 0.35
Yes Yes Yes Yes Yes Yes Yes Yes
None None None None None None None None
0.35 0.35 0.35 0.35 0.16 0.18 0.2 0.2
Yes Yes Yes Yes Yes Yes Yes Yes
None None None None None None None None
7-27
None None None None
ASIC Vendor Directory
Austria Mikro Systeme (continued) MISCELLANEOUS Preferred Customer Interface GDSII, Semivalidated Netlist, Validated Netlist, Specification
7-28
CAD System Access
Workstations, Simulators, and Layout
Gate Array NRE, Prototype Turnaround ($K) (Weeks)
Standard Cell NRE, Prototype Turnaround ($K) (Weeks)
Mentor, Synopsys, Tancell, Cadence, QuickSim, LSIM, Verilog
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Chip Express Corporation Address: 2323 Owen Street Santa Clara, CA 95054
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 988-2445 (408) 988-2449 www.chipexpress.com Ran Avinun Marketing Manager Gate Arrays-LPGA Supplier
Company Philosophy Chip Express provides the electronics industry with comprehensive ASIC services featuring the Time-to-Market Solution ¨. The companyÕs product, called LPGA (Laser Programmable Gate Array), is a silicon customized gate array fabricated with a patented technology. The LPGA delivers true ASIC performance with a seamless migration path from one day prototypes to one week low volume/pre-production and one month high volume production. Chip Express has developed a series of unique capabilities that allow customization of gate arrays outside of conventional fabs, enabling fast turn-around, significant flexibility, risk reduction, and competitive production cost. LPGAs are produced employing a patented disconnect methodology, where the base wafers are fabricated with all interconnection layers fully completed. Chip ExpressÕ proprietary techniques are used to selectively remove specific metallization points to personalize the arrays. Rapid prototyping service is provided utilizing the proprietary QuICk ¨ laser micro-machining system to personalize one die at a time, in a couple of hours. The low volume/pre-production is provided using the OneMask¨ technology to personalize a single wafer at a time. Cost-effective mass production is provided by using TwoMaskª personalization technology at conventional fabs. Chip Express services leading edge communications, computer, and military customers such as 3Com, Hewlett-Packard, Intel, IBM, National Semiconductor, Xerox, Hughes, and Boeing.
GATE ARRAYS Product
Technology
QYH500 CX2000
CMOS CMOS
Line Width (µ) 0.8 0.6
CX2001
CMOS
0.6
3 Metal
CX2002
CMOS
0.5
3 Metal
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW/Gate/MHz)
2 Metal 3 Metal
80,000 200,000+ 128,000 bits memory 200,000+ 96,000 bits memory 200,000 +128,000 bits memory
396 556
0.35 NA
556
536
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Second Source
NA 1 at 3.3V
Military Temperature Range Yes Yes
NA
1 at 3V
Yes
Tower
NA
1 at 3V
No
Chartered
Yamaha Tower
7-29
ASIC Vendor Directory
Name: CROSSMOS Address: Gare 15b CH-2074 Marin Switzerland
+32 753 62 72 +32 753 61 09 Ph. Crausaz Electronic Engineer, Manager Semi- and Full-Custom ASICs (development and production) ASIC Vendor Type: Supplier, Design House Telephone: Fax: Contact: Title: Products:
Company Philosophy: CROSSMOS offers its customers all services from development to production in a high quality standard and on time. CROSSMOS has a minimal overhead and therefore ensures flexibility, versatility, and lower costs. GATE ARRAYS Product
Technology
Metal Gate SAC MOS
Line Width (µ) 6 2
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
1 1
15-655 240-1530
13-69 16-28
15 1.5
300 300
Military Temperature Range No No
Second Source
Yes Yes
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Schematic
Yes
HP, PSPICE, Mentor
7-30
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 8-35 4-10
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 35-100 8-14
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Crosspoint Solutions, Inc. Address: 694 Tasman Drive Milpitas, CA 95035
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 324-0200 (408) 324-0123 www.xpoint.com Mike Levis V.P. of Marketing FPGAs Supplier
Company Philosophy: Bring leadership productivity enhancement solutions to ASIC and system design. PLDs Product
Technology
Based
Package Type
Design Tools
Second Source
na
Registered/Non Registered Prop. Delay (ns) na
CP20K
CMOS
PQFP, PBGA, PLCC
na
na
PQFP, PBGA, PLCC
EDA Platforms from Mentor, Cadence, Synopsys, Viewlogic "
Hitachi, LG Semicon na
CP100K
CMOS
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-31
ASIC Vendor Directory
Name: CSEM IC Design Address: Maladiere 71 2007 Neuchatel Switzerland
+41 38 205111/425/670 +41 38 205770
[email protected] David Shiels Head of Marketing, IC Design Micropower ICs, Sensor Interface ICs, and Analog, Design Tools ASIC Vendor Type: Supplier Telephone: Fax: E-mail: Contact: Title: Products:
Company Philosophy: To offer our customers long-term competitive advantages through authorized low-power and/or miniature circuit and system situations. STANDARD CELLS Product
Technology
CMOS
Line Width (µ) 1.0, 0.7, 0.5
BiCMOS
0.7, 0.65
Wiring Layers
Complex Cells
1/2/3 Metal 2 Metal
Analog, RAM, ROM, EEPROM, MPU, ADC, DAC, very low power, digital
Propagation Delay (ns)
Power (µW)
Military Temperature Range
(0.9-6V)
Second Source None None
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Specifications
Mentor, Compass
Sun
7-32
Gate Array NRE, Prototype Turnaround ($K) (Weeks)
Standard Cell NRE, Prototype Turnaround ($K) (Weeks)
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Custom Silicon Inc. Address: 600 Suffolk St. Lowell, MA 01854-3629
(508) 454-4600 David Guinther Vice President CMOS and Bipolar Gate Arrays, CMOS Cell Libraries ASIC Vendor Type: Supplier Telephone: Contact: Title: Products:
GATE ARRAYS Product
Technology
HCA 62A00 HDC 100 SLA-1.5 Volt SLA-8000
CMOS CMOS CMOS CMOS
Product
Technology
VS 1500
VS 2000
Line Width (µ) 2.0 1.0 2.0 1.2
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
2 Metal 3 Metal 2 Metal 2 Metal
648-8568 5670-104,832 1632-8000 5000-130,000
44-168 99-512 78-178 86-308
1.5 0.45 8.5 0.52
0.022 1.0 2.2 1.45
Military Temperature Range Yes No Yes Yes
Second Source
None Motorola Seiko Seiko
STANDARD CELLS Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 1.5
2 Metal
0.5
CMOS
2.0
2 Metal
RAM, ROM, PLA, ALU, MUX, 275 MicroBlocks, 29xx Bitslice Processors, 65CX02, SCSI and CRT Controller, 68C05, Multiport RAMs RAM, ROM, PLA, EEPROM, SRAM, DRAM, 275 MicroBlocks, 29xx Bitslice Processors, 65CX02, SCSI and CRT Controller, 68C05, Multiport RAMs
1.1
Second Source
0.14
Military Temperature Range Yes
0.28
Yes
Motorola
na
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Functional
IBM PC, Apollo
Mentor, FutureNet, Viewlogic
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) See Factory See Factory
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) See Factory See Factory
7-33
ASIC Vendor Directory
Name: Cypress Semiconductor Corp. Address: 3901 North First St. San Jose, CA 95134-1506
Telephone: Fax: Web Site: Contact: Title: Products: ASIC Vendor Type:
(408) 943-2600 (408) 943-2741 www.cypress.com Norm Taffe UltraLogic Marketing Manager Programmable Logic Devices Manufacturer
Company Philosophy: Provide the world's fastest programmable logic products in the widest range of densities. Provide unparalleled support for these products with Warp2 and Warp3 Ñ the world's first vendor supplied, open, VHDL design tools. Maintain market leadership in both the 22V10 and fastest growing CPLD segments. PLDs Product
Technology
Based
CY7C331
CMOS
EPROM
Registered/Non Registered Prop. Delay (ns) 20-35
CY7C335
CMOS
EPROM
15/25
CY7C341 (MAX)
CMOS
EPROM
15-35
CY7C342 (MAX)
CMOS
EPROM
15-35
CY7C343B (MAX) CY7C344B (MAX) CY7C346B (MAX) CY7C361
CMOS
EPROM
12-35
CMOS
EPROM
10-35
CMOS
EPROM
15-35
CMOS
EPROM
2-9
CY7C371
CMOS
Flash
8.5-15
CY7C372/3
CMOS
Flash
10-20
CY7C374/5
CMOS
Flash
10-15
CY7C381/2A (1K Gates) CY7C383/4A (2K Gates) CY7C385/6A (3K Gates) CY7C387A/8A
CMOS
Antifuse
7-15
CMOS
Antifuse
7-15
CMOS
Antifuse
7-15
CMOS
Antifuse
7-15
PAL22V10C
BiCMOS
Fuse
5-10
PALC16 Series (L8, R8, R6, R4)
CMOS
EPROM
25-35
20 pin skinny DIP and windowed skinny DIP, plastic SOJ, windowed LCC
PALC22V10
CMOS
EPROM
20-35
24 pin skinny DIP and windowed skinny DIP, 28 pin PLCC, 28 pin windowed LCC
7-34
Package Type
Design Tools
28 pin skinny DIP and windowed skinny DIP, 28 pin PLCC, 28 pin windowed LCC 28 pin skinny DIP, Ceramic DIP, windowed DIP, PLCC, LCC, Cerpack, windowed LCC 84 pin PGA, windowed PGA, PLCC, windowed LCC 68 pin PGA, windowed PGA, PLCC, windowed LCC 44 pin PLCC, windowed LCC
Warp2, Date I/O ABEL, PLD Tool Kit, QuickPro, Warp3, Impulse3
28 pin Ceramic DIP, Plastic DIP, windowed LCC, PLCC 84-pin PGA, PLCC, windowed LCC, 100-pin PQFP 28 pin Ceramic DIP, Plastic DIP, PLCC, LCC 44-pin PLCC, CLCC 44-Pin PLCC, CLCC 84-pin PGA, PLCC, CLCC 100-pin TQFP 84-pin PGA, PLCC, 100-pin TQFP, 160-pin TQFP, PGA 44-Pin PLCC, 68-pin PLCC, 68-pin CPGA, 100-pin TQFP 68-pin PLCC, 84-pin PLCC, 84-pin CPGA, 100-pin TQFP 84-pin PLCC, 100-pin TQFP, 144-pin TQFP, 144-pin CPGA, 160-pin CQFP 144-pin CPGA< TQFP, 208-pin TQFP, 225-pin BGA, 245-pin CPGA CDIP, PDIP, PLCC
Second Source
Warp2, Date I/O ABEL, ISDATA Log/iC, MINC PLDesigner, CUPL, Warp3, Impulse3 MAX+PLUS Development System, Warp2, Warp3, Impulse3 "
Altera Altera
"
Altera
"
Altera
MAX+Plus, Warp2, Warp3, Impulse3
Altera
Warp2, PLD Toolkit, Quickpro, Data I/O, Warp3, Impulse3 Warp2, Warp3, Data I/O ABEL, Impulse3 "
None
None
"
None
Warp3, SpDE, Data I/O ABEL, Impulse3 "
QuickLogic
"
QuickLogic
"
QuickLogic
Warp2, Data I/O ABEL, CUPL, PLD Tool Kit, Warp3, Impulse3 Warp2, Data I/O ABEL, ISDATA Log/iC, Minc PLDesigner, CUPL, PLD Tool Kit, Data I/O, QuickPro, Warp3, Impulse3 "
None
QuickLogic
AMD , National, TI
INTEGRATED CIRCUIT ENGINEERING CORPORATION
AMD
ASIC Vendor Directory
Cypress Semiconductor Corp. (continued) PLDs (continued) Product
Technology
Based
PALC22V10B
CMOS
EPROM
Registered/Non Registered Prop. Delay (ns) 15-20
PALC22V10D
CMOS
Flash
7.5-15
24 -pin skinny DIP, Ceramic DIP, 28 pin PLCC, LCC, Cerpack
PLDC20G10B
CMOS
EPROM
15-20
PLD20G10L
BiCMOS
Fuse
7.5-12
24-pin PLCC, Windowed DIP, Ceramic DIP, Plastic DIP, Ceramic LCC 24-pin skinny DIP, PDIP, 28-pin PLCC, CLCC
PAL22V10G
BiCMOS
Fuse
4-10
24-pin DIP, 28-pin PLCC
PLD20RA10
CMOS
EPROM
15-35
24-pin skinny DIP and windowed skinny DIP, 28-pin PLCC, 28-pin windowed LCC
Package Type
Design Tools
24 -pin, windowed DIP, Ceramic DIP, Plastic DIP, Ceramic LCC, Plastic SOJ
Warp2, Dsta I/O ABEL, ISDATA Log/iC, Minc PLDesigner, CUPL, PLD Tool Kit, Data I/O, Stag, Kontron, Logical Devices, Digelec, QuickPro, Warp3, Impulse3 Warp2, Data I/O ABEL, ISDATA Log/iC, Minc PLDesigner, CUPL, Warp3, Impulse3 Warp2, Data I/O ABEL, PLD Tool Kit, Data I/O, QuickPro, Warp3, Impulse3 Warp2, Data I/O ABEL, PLD Tool Kit, Data I/O, QuickPro, Warp3, Impuse3 Warp2, Data I/O ABEL, ISDATA Log/iC, Minc PLDesigner, CUPL, Warp3, Impulse3 Warp2, Data I/O ABEL, ISDATA Log/iC, Minc PLDesigner, CUPL, PLD Tool Kit, Data I/O, QuickPro, Warp3, Impulse3
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Second Source
Universal 24 pin PLD replacement
AMD
7-35
ASIC Vendor Directory
Name: Dassault Electronique Address: 55 Quai Marcel Dassault F-92214 Saint Cloud France
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
+33 1 34 81 51 31 +33 1 34 80 51 00 Yves Le Goff Director, Sales and Marketing Microelectronics Third Party Design House
Company Philosophy: To be the major European design and system house in microelectronics from ASICs to MCMs and packaging through MMICs, MMCMs, and CAD tools. Dassault Electronique has broad capabilities for system and circuit design. In its dual capacity as state-of-the-art equipment manufacturer and multitechnology systems developer, Dassault Electronique offers full-spectrum services including: systems analysis, systems miniaturization, parallel design of ASICs, MCMs, and PCBs, design of digital, analog, or hybrid circuits, CAD and testability support, packaging design, engineering, and testing under severe environmental conditions (safety, EMI, shock, vibration, temperature, radiation). MISCELLANEOUS Preferred Customer Interface VHDL, Verilog, LASAR, Cadence, Mentor, HSPICE/Artist, PSPICE
7-36
CAD System Access
Workstations, Simulators, and Layout
Gate Array NRE, Prototype Turnaround ($K) (Weeks)
Standard Cell NRE, Prototype Turnaround ($K) (Weeks)
Sun
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Dectroswiss Electronic Design AG Telephone: Address: Industriestrasse 4A Fax: Postfach Contact: CH-8603 Schwerzenbach Title: Switzerland Products: ASIC Vendor Type:
+41 1 908 13 11 +41 1 908 13 10 Urs Zimmerman President Standard Cells, Custom ICs Supplier, Third Party Design House
Company Philosophy: Dectroswiss Electronic Design designs, tests, and supplies ASICs. The company specializes in mixed-mode circuits and switch capacitors design (filters); low-power, low voltage CMOS ASICs with EEPROM; and analog/digital test systems with micromanipulator. Dectroswiss is a design company and an ASIC-contractor, the customer decides what it needs.
INTEGRATED CIRCUIT ENGINEERING CORPORATION
7-37
ASIC Vendor Directory
Name: Dialog Semiconductor Address: Windmill Hill Whitehill Way Swindon SN5 6PJ Wiltshire United Kingdom
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
+44 1793 875 327 +44 1793 875 328 Gary Duncan VP, Marketing Mixed-Mode ASICs Supplier
Company Philosophy: Design and supply of analog and digital ASICs, specializing in sensor signal processing, audio and video processing, personal communications, for the automotive, communications, and industrial markets. Dialog Semiconductor is a member of the semiconductor division of TEMIC. STANDARD CELLS Product
Technology
Line Width (µ)
Wiring Layers
Complex Cells
CMOS CMOS CMOS CMOS
3.0 1.2 0.7 0.35
2+1 2+1 2+1 3+1
Analog and Digital Analog and Digital Analog and Digital Analog and Digital
Propagation Delay (ns) 2 Input Nand-Gate 2.4 0.6 0.4 0.1
Power (µW/MHz/Gate)
Military Temperature Range
Second Source
5 2.4 1.5 1
Yes Yes Yes Yes
Various Various Various Various
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Specifications, Netlist
Yes
Mentor, HSPICE, Cascade
7-38
Gate Array NRE, Prototype Turnaround ($K) (Weeks) na©
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 25-200 6-50
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Dolphin Integration Address: Zirst, 8, Chemin des Clos B.P. 65 F-38242 Meylan CEDEX France
Telephone: Fax: E-mail: Contact: Title: Products: ASIC Vendor Type:
+33 76 41 10 96 +33 76 90 29 65
[email protected] Michel Depeyrot President Standard Cells, Circuitware IC Design Supplier, Third Party Design House
Company Philosophy: Develops and promotes synthesizable and generatable CIRCUITWAREª and uses and sells multifoundry-portable cell library and simulation models on simulator SMASHª, seamlessly mixed-mode and multilevel. HARD, FIRM, AND SOFT MACROCELLS Product
Technology
MOCOD COREV LPCOD FAPLL HDLC UART/DMA RAG-TIME
CMOS CMOS CMOS CMOS CMOS CMOS CMOS
Line Width (µ) 0.5, 0.35 0.5, 0.35 na na any any 0.5, 0.35
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
3 3 2 2 any any 3+
Analog front end for FAX and Modems Multimedia CODEC Ultra Low Power CODEC High Speed PLL VHDL, synthesizable, RTL model Ò SRAM/ROM/DRAM Generator
na na na na na na na
20 @ 3.3V 80 @ 3.3V 0, 1 na na na na
Military Temperature Range Yes Yes na na Yes na Yes
Second Source Multiple Multiple Multiple Multiple Multiple Multiple Multiple
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Design Works, Synario Protel
Cadence, Compass Client/Server
SMASHª, SHAKER id
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) na na na na
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) na na na na
7-39
ASIC Vendor Directory
Name: EASICS N. V. Address: Interleuvenlaan 86 B-3001 Leuven Belgium
Telephone: Fax: E-mail: Web Site: Contact: Title: ASIC Vendor Type:
+32 16 395 611 +32 16 395 619
[email protected] www.easics.com Dirk Callaerts MarketingManager Third Party Design House
Company Philosophy: Easics is an independent ASIC design company, using a design methodology based on VHDL and logic synthesis. The design can be targeted to different ASIC technologies or can be prototyped in a FPGA or a LPGA. EasicsÕ know-how is based on a unique combination of VHDL design experience and systemlevel expertise in domains such as telecommunications (ATM, SDH, SONET) and digital signal processing. EasicsÕ designs digital ASICs, starting from a functional requirements specification of the device, and transfers a testable netlist to the customer or to the foundry for back-end work. Easics verifies the design by performing timing analysis on the backannotated netlist. Currently, Easics is focusing on issues for design reuse. For this reason, Easics is now a member of the VSI alliance.
7-40
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Electronic Technology Corp. Address: 2501 N. Loop Dr. ISU Research Park Ames, IA 50010-8284
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
(515) 296-7000 (515) 296-7001 Kathy Vitzthum Marketing Coordinator CMOS Analog Arrays Supplier
ANALOG ARRAYS Product
Technology
Maximum Voltage
Wiring Layers
Complex Cells
NPN/PNP F(t) (GHz)
Other Devices
AD20Si
Si gate CMOS
20
1
Schmitt Trigger, 2:1 MUX, 4:1 MUX, Ripple Counter, Shift Register, Noninverting TTL, Level Shifter, Op Amp 741 Equiv., Comparator 10mV Input Offset, Comparator Systeresis
0.55/0.325
Zener Diode, High Current Output Pair, N Channel and P Channel FETs in isolated P wells, SiCr Resistors and Trimming Option
Military Temperature Range Yes
Second Source Micrel
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Concept, Specification, or GDSII Layout
na
PC-based using OrCAD, PSPICE, and ICED
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 12 5
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 30 12
7-41
ASIC Vendor Directory
Name: MELEXIS (Formerly ELEX Research) Address: Rozendaalstraat 12 B-8900 Ieper Belgium
Telephone: Fax: E-mail: Contact: Products: ASIC Vendor Type:
+57 22 6131 +57 21 8089
[email protected] Bart De Cock ASICs Manufacturer
Company Philosophy: Specialize in automotive integrated circuits. STANDARD CELLS Product
Technology
1µ
CMOS
7-42
Line Width (µ) 1
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
2-3
8 and 16 bit core RAM, ROM, EEPROM, OTP, A/D, D/A, Many Analog
0.4
1.5
Military Temperature Range Yes
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Second Source None
ASIC Vendor Directory
Name: EM Microelectronic-Marin SA Address: Rue des Sors 3 CH-2074 Marin Switzerland
Telephone: Fax: Contact: Title: Products: ASIC Vendor Type:
+41 38 35 51 11 +41 38 35 54 03 Dieter Diegel Sales Manager ASICs Manufacturer
Company Philosophy: Design and manufacture low voltage and low power mixed analog/digital/EEPROM ICs. Supply of standard watch and clock chips, power surveillance, and LCD driver standard parts. ICs operate down to 1.2 volts. GATE ARRAYS Product
Technology
EMS
CMOS
Product
Technology
ALP1EE
CMOS EEPROM
ALP2EE
CMOS EEPROM CMOS EEPROM
Line Width (µ) 2
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
4
6800
104
1.6
na
Military Temperature Range Yes
Second Source
Yes
STANDARD CELLS
ALP3EE
Line Width (µ) 1
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
2
0.4
2
2
Oscillators, Op-Amps, Comparators, Converters, Multipliers, LCD Drivers, ROM, RAM, EEPROM, etc. "
3
1
Low Voltage, Low Power
Second Source
na
Military Temperature Range Yes
1
na
Yes
Yes
19 (1.5V)
na
Yes
Yes
Yes
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
GDS/CIF
COMPASS
COMPASS
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 10-20 2-4
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 8
7-43
ASIC Vendor Directory
Name: Epson Europe Electronics GmbH Address: Riesstrasse 15 80992 Munich Germany
+89 14005-0 +89 14005-110 Peter Neugebauer Engineering Manager CMOS Digital Gate Arrays and Standard Cells ASIC Vendor Type: Supplier Telephone: Fax: Contact: Title: Products:
Company Philosophy: Epson Europe Electronics GmbH is the European subsidiary of Seiko Epson Corp.'s Semiconductor Operation Division. Its mission is to ensure total customer satisfaction through the supply of all the necessary services, support, training, and tools from the very first pre-sales contact to mass production of ASIC devices. GATE ARRAYS Product
Technology
SLA100X SLA9000 SLA9000 Plus SLA20000 SLA20000 Plus SLA30000 SLA40000 SLA9000F
CMOS CMOS CMOS CMOS CMOS CMOS CMOS CMOS
Line Width (µ) 2.0 1.0 1.0 0.65 0.65 0.6 0.45 1.0
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
2 2 2 2,3 2 2,3 2,3 2
1600-8000 4000-33,000 9000-37,000 12,500-200,000 4000-46,000 18,500-216,000 28,000-400,000 2,800-44,000
Up to 178 Up to 240 Up to 256 Up to 240 Up to 208 Up to 392 Up to 480 Up to 256
3.0 (3V) 0.55 (5V) 0.55 (5V) 0.28 (5V) 0.28 0.25 0.19 (3.3V) 0.3 (5V)
1 6 6 3 3 2.5 2.0 6.0
Military Temperature Range No No No No No No No No
Second Source
na na na na na na na na
STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 2.0
SSC2000
2
3.0 (3V)
CMOS CMOS
1.6 0.8
2 2
Analog Library, Ultra Low Power Oscillators Low-Power Oscillators Multiplier 4-32 by 4-32, RAM, ROM, FIFO, 82xx comp. cells
SSC2500 SSC5000
2.5 (3V) 0.28 (5V)
Second Source
1
Military Temperature Range No
0.5 5
No No
na na
na
MISCELLANEOUS Preferred Customer Interface Netlist
CAD System Access
Workstations, Simulators, and Layout PC 386/486, SunSPARC, LADSnet (Espon proprietary), Mentor, Cadence, Synopsys, IKOS
7-44
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 3
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 8
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Exar Corporation Address: 2222 Qume Dr. P.O. Box 4900 San Jose, CA 95161-9007
(408) 434-6400 (408) 943-8245 www.exar.com Janie Nakamoto Marketing Coordinator Bipolar Linear Arrays and BiCMOS and CMOS Cell Libraries ASIC Vendor Type: Manufacturer Telephone: Fax: Web Site: Contact: Title: Products:
STANDARD CELLS Product
Technology
N1200
CMOS
Line Width (µ) 1.2
N1600
BiCMOS
1.6
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
Second Source
na
Military Temperature Range Yes
2 Metal, 2 Poly 2 Metal, 2 Poly
Data Converters, Bandgap References, Active Filters RAM, ROM, EEPROM, Data Converters, Switched Cap Filters, Bandgap References
0.55 0.76
0.003
Yes
None
Military Temperature Range Yes
Second Source
Yes
None
Yes
None
None
ANALOG ARRAYS Product
Technology
Maximum Voltage
Wiring Layers
Complex Cells
NPN/PNP F(t) (GHz)
Other Devices
Beta Arrays
Bipolar
26
1
Op Amps, Comparators, Precision Current Sources, Timers, Rectifiers
0.8/0.01
Bifet Arrays
Bipolar
36
1
na
0.4/0.006
Hi Voltage Arrays
Bipolar
76
1
na
0.25/0.003
Base and Implant Resistors, Capacitors, Power Transistors JFETs, Capacitors, Implant and Base Resistors, Power Transistors Base and Pinched Resistors, Power Transistors
None
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
FIDS for Flexar Beta Arrays, OrCAD Workview Schematics, GDSII, Cadence Pencil Hookup, GDSII
IBM PC
OrCAD, PSPICE, Proprietary Layout Editor A-HDL, Powerview, HSPICE, Comdisco, Synopsys HSPICE, Cadence
IBM PC, Sun SPARC
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) na 3-4
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) na na
na
na
na
13
Call
Call
na
na
7-45
ASIC Vendor Directory
Name: Faraday Technology Corp. Address: 7H, 9, Prosperity First Road Science-Based Industrial Park Hsinchu, Taiwan
886-35-787888 886-35-787889 K.C. Shih President CMOS ASICs in Gate Array and Standard Cells ASIC Vendor Type: Supplier, Design Service ASIC library license Telephone: Fax: Contact: Title: Products:
US Subsidiary: ASIC Semiconductor IntÕl. Corp. Address: 3255-4 Scott Blvd., Suite # 103, Santa Clara, CA 95054
Telephone: (408) 235-8888 Fax: (408) 235-8889 Contact: K.C. Shih
Company Philosophy: Fully utilizing TaiwanÕs manufacturing capability, Faraday Technology is committed to providing customers with high-quality, on-time, and low cost ASIC solutions. GATE ARRAYS Product
Technology
Line Width (µ) 0.6 0.35
FG6000A FG8000A
CMOS CMOS
Product
Technology
FG6000A
CMOS
Line Width (µ) 0.6
FG8000A
CMOS
0.35
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
2/3 Metal 3/4 Metal
Up to 200,000 Up to 600,000
Up to 296 Up to 620
0.25 0.08
na na
Military Temperature Range No No
Second Source
No No
EMBEDDED ARRAYS Programmable Layers 2/3 Metal
3/4 Metal
Complex Cells
Propagation Delay (ns)
Power (µW)
Second Source
na
Military Temperature Range No
RAM, ROM, FIFO, Multiport RAM, GTL, PLL, OP-Amps, Vref, Datapath Compiler, OSC, POR, Comparators, 146818, 16450, 16550, 80xx, 82xx, 82365, 8530 "
0.25
0.08
na
No
No
Second Source
No No
No
STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 0.8
2 Metal
0.3
na
CMOS CMOS
0.5 0.35
2/3 Metal 3/4 Metal
RAM, ROM, FIFO, Multiport RAM, GTL, PLL, OP-Amps, Vref, Datapath Compiler, OSC, POR, Compartors, ADCs, DACs, 146818, 16450, 16550, 80xx, 82xx, 82365, 8530 " "
Military Temperature Range No
FS5000A
FS7000A FS8000A
0.23 0.08
na na
No No
No
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Converted, Netlist, Layout
Workstation, PC
Cadence, Mentor, Viewlogic, Synopsys, Intergraph, Gate Ensemble, Cell3
7-46
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 15 and up 3-4
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 25 and up 6-8
INTEGRATED CIRCUIT ENGINEERING CORPORATION
ASIC Vendor Directory
Name: Fujitsu Microelectronics Inc. Address: 3545 North First St., MS 138, Bldg. 1 San Jose, CA 95134-1804
(408) 922-9000 (408) 434-0962 www.fmi.fujitsu.com Barry J. Marsh ASIC Marketing Director CMOS Gate Arrays and Cell Libraries ASIC Vendor Type: Manufacturer Telephone: Fax: Web Site: Contact: Title: Products:
Company Philosophy: Fujitsu Microelectronics Inc. (FMI), is a subsidiary of Japan's Fujitsu Limited. FMI focuses on serving the North American EDP and data communications markets by offering value added, submicron, digital, CMOS ASIC products. GATE ARRAYS Product
Technology
CG46 CE46 CG51 CE51 CE56 CE61
CMOS CMOS CMOS CMOS CMOS CMOS
Line Width (µ) 0.65 0.65 0.5 0.5 0.5 0.35
Programmable Layers
Gates
I/Os
Propagation Delay (ns)
Power (µW)
2 2 3 3 2 2/3/4
53,000-198,000 53,000-198,000 34,000-754,000 34,000-754,000 55,000-380,000 131,000-2,000,000
208-400 208-400 120-496 120-496 160-400 58-364
0.3 0.3 0.21 0.21 0.21 0.085
4.5 4.5 1.2 1.2 1.2 0.6
Military Temperature Range No No No No No No
Second Source
None None None None None None
STANDARD CELLS Product
Technology
CS35 CS50 CS60
CMOS CMOS CMOS
Line Width (µ) 0.8 0.5 0.35
Wiring Layers
Complex Cells
2 3 3/4
Propagation Delay (ns)
Power (µW)
0.37 0.21 na
6.4 4.5 na
Military Temperature Range No No No
Second Source None None None
MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Verilog, VHDL, EDIF
HP, Sun
Cadence, Mentor, Synopsys, Verilog-AL, Sunrise, Motive
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 2-4
Standard Cell NRE, Prototype Turnaround ($K) (Weeks) 8-16
7-47
ASIC Vendor Directory
Name: GEC Plessey Semiconductors Ltd. Address: Cheney Manor Swindon, Wiltshire United Kingdom, SN2 2QW
Telephone: Fax: Web Site: Contact: Products:
+44 1 793 518000 +44 1 793 518411 www.gpsemi.com Barry Dennington Embedded Arrays, Gate Arrays, Standard Cells ASIC Vendor Type: Manufacturer
US Subsidiary: GEC Plessey Semiconductors Inc. Address: 1500 Green Hills Rd. Scotts Valley, CA 95067-0017 U.S.A.
Telephone: Fax: Contact: Title:
(408) 438-2900 (408) 438-5576 Maha Osman Business Development Director
Company Philosophy: GPS will deliver world class design support which will in turn deliver the customer the most complex designs, including gate array, embedded array, cell based and mixed signal, and FPGA conversions. Quality design kits on third party design systems will ensure a smooth design development and transfer to production. GATE ARRAYS Product
Technology
CLA80000 CLA90000 CLA200
CMOS CMOS CMOS
Line Width (µ) 0.7 0.6 0.35
Programmable Layers 2/3 Metal 2/3 Metal 3/4 Metal
Gates
I/Os
Propagation Delay (ns)
Power (µW)
0.2 (5V) 0.15 (5V) 0.135 (3V)
4.0 1.3 0.9
3000-513,000 56-448 Up to 1,100,000 Up to 520 Up to 2M 550
Military Temperature Range Yes Yes Yes
Second Source
None Yes Yes
STANDARD CELLS Product
Technology
Wiring Layers
Complex Cells
Propagation Delay (ns)
Power (µW)
CMOS
Line Width (µ) 0.7
MVA80000
2/3 Metal
0.2 (5V)
CMOS CMOS
0.6 0.35
2/3 Metal 3/4 Metal
ROM, RAM, JTAG, ARM RISC Processor, Z80, DSP, UARTS, 8051 Ò ARM Thumb DSP 40 MIPS System ASIC Library, Computer, Communication and Networking Macros
MVA9000 GSC200
0.15 (5V) 0.120 (3V)
7-48
Second Source
4.00
Military Temperature Range Yes
1.3 0.32
Yes Yes
Yes Yes
INTEGRATED CIRCUIT ENGINEERING CORPORATION
None
ASIC Vendor Directory
GEC Plessey Semiconductors (continued) MISCELLANEOUS Preferred Customer Interface
CAD System Access
Workstations, Simulators, and Layout
Netlist, VHDL, Specification
HP, Sun
VITAL
INTEGRATED CIRCUIT ENGINEERING CORPORATION
Gate Array NRE, Prototype Turnaround ($K) (Weeks) 16+