2015 China Semiconductor Technology International Conference

2015 China Semiconductor Technology International Conference (CSTIC 2015) Shanghai, China 15-16 March 2015 IEEE Catalog Number: ISBN: CFP1560Y-POD ...
Author: Alyson Stafford
11 downloads 0 Views 211KB Size
2015 China Semiconductor Technology International Conference (CSTIC 2015)

Shanghai, China 15-16 March 2015

IEEE Catalog Number: ISBN:

CFP1560Y-POD 978-1-4799-7242-5

Table of Contents Preface

Chapter I - Device Engineering and Technology Noise Analysis in Advanced Memory Devices....1 E. Simoen1, M. Aoulaiche2, M. Jurczak1, G. Giusi3 and C. Claeys1,4 1 Imec,Leuven, Belgium 2 Micron, Imec Campus, Belgium 3 University of Messina, Italy 4 KU Leuven, Belgium

I-21

From the Present to the Future: Scaling of Planar VLSI-CMOS Devices Towards 3DFinFETs and Beyond 10nm CMOS Technologies; Manufacturing Challenges and Technology Concepts*....5 Jan Hoentschel and A. Wei GLOBALFOUNDRIES, Dresden, Germany

I-48

A Fast 3-D TCAD Structure Generation Method for FinFET Devices and Circuits Simulation....9 Yuwei Gu1, Chengqing Wei1, Guohe Zhang2 and Xuejie Shi1 1 Semiconductor Manufacturing International Corporation (SMIC) 2 Xi'an Jiaotong University

I-19

ESD Gated Diode SPICE Compact Model....12 Zhenghao Gan, An Zhang, Waisum Wong, Lifei Zhang, Haohua Ye and Chien-Lung Tseng Semiconductor Manufacturing International Corporation (SMIC)

I-17

Species Selection of Pre- amorphous Implantation in Nickel Silicide Process....15 Qiu Yuming, Yu Deqin, Cao Wenjie, Xiao Tianjin, He Zhibin, Liu Wei, Jing Xubin, Fang Jingxun and Albert Pang Shanghai Huali Microelectronics Corporation

I-7

New PCell Based Ring Oscillator Layout Auto-Generation Method and Application in Advanced SPICE Model Verification....18 Cheng Jia and Shang Ganbing ShangHai Huali Microelectronics Corporation

I-8

40nm Contact Related Process Optimization for Defect Reduction....21 He Zhibin, Jing Xubin, cao Jian, Qiu Yuming, Yan Junhua, Zhou Jun and Albert Pang Shanghai Huali Microelectronics Corporation

I-18

A sub-10nm U-shape FinFET Design with Suppressed Leakage Current and DIBL Effect....24 Wei-Chao Zhou,Yao Yao, Xiao-Yong Liu, Yu An, Peng-Fei Wang and David Wei Zhang Fudan University

I-20

Two-Dimensional Device Simulation for Radio Frequency Performance of AlGaN/GaN HEMT....27 Lin-Qing Zhang, Hong-Fan Huang, Xiao-Yong Liu, Jin-Shan Shi, Zhuo Liu, Sheng-Xun Zhao and Peng-Wei Wang Fudan University

I-22

The Optimization Method of Device Mismatch on 40 nm Process Technology....30 Peng Zhang, Wei Liu, Xubin Jing, Dongming Zhang, Haifeng Lu, Jianhua Zhou and Yuming Qiu Shanghai Huali Microelectronics Corporation

I-24

A New Simulation Method For Single Photon Avalanche Diode....33 Xiaopeng Xie1, Yue Xu1,2, Yang Huang1, Yufeng Guo1,2, XiaoQing Chen1 and Heng Yue1 1 Nanjing University of Posts and Telecommunications 2 Jiangsu Provincial Engineering lab.

I-26

Variation-aware Energy-Delay Optimization Method for Device/Circuit Co-design....36 Junyao Wang1, Xiaobo Jiang1, Xingsheng Wang2, Runsheng Wang1, Binjie Cheng2,3, Asen Asenov2,3, Lan Wei3 and Ru Huang1 1 Peking University 2 University of Glasgow, Scotland 3 University of Waterloo, 4Gold Standard Simulation (GSS) Glasgow, Scotland

I-38

Comprehensive Investigation and Design of Tunnel FET-Based SRAM....39 Hao Zhu1,2, Qianqian Huang2, Lingyi Guo2, Libo Yang1,2, Le Ye2 and Ru Huang2 1 Peking University Shenzhen Graduate School, Shenzhen 2 Peking University

I-24

High Voltage NLDMOS With Multiple-Resurf Structure to Achieve Improved OnResistance....42 Shao-Ming Yang1, Hema EP1, Aryadeep Mrinal1, Md Amanullah, Gene Sheu1 and PA Chen1 1 Asia University, Taichung, Taiwan, R.O.C. 2 Nuvoton Technology Corp., Hsinchu, Taiwan, R.O.C.

I-54

A Novel HSPICE Macromodel for the ESD Behavior of Gate Grounded NMOS and Gate Coupled NMOS....45 Shao Ming Yang1, Hema EP, Gene Sheu, Aryadeep Mrinal, Md Amanullah and PA chen2 1 Asia University, Taichung, Taiwan, R.O.C. 2 Nuvoton Technology Corp., Hsinchu, Taiwan, R.O.C.

I-55

A Self-Compliance RRAM Device for High Density Cross-Point Array Applications....48 Feiyang Huang, Huaqiang Wu and Xinyi Li Tsinghua University, Beijing

I-30

An Investigation of CeO2 Based ReRAM With p+ and n+-Si Bottom Electrodes....51 J.Jin1, K. Kakushima2, Y. Kataoka2, A. Nishiyama2, N. Sugii2, H. Wakabayashi2, K. Tsutsui2, K. Natori1 and H. Iwai1 1 Tokyo Tech. FRC, Japan 2 Tokyo Tech. IGSSE, Japan

I-35

40nm Offset Spacer Process Optimization to Improve Device Stability and Mismatch....53 Chen Ji, Zhibin He, Xubin Jing, Wei Liu, Wenlong Chang, Yu Zhang and Albert Pang Shanghai Huali Microelectronics Corporation

I-25

Modeling of Detailed Internal Electric Field in a Trench Insulated Gate Bipolar Transistor using Variational Thermodynamic Methodology....56 John Rose Santiago, Krunal V. Patel, Norman G. Gunther and Mahmudur Rahman George Mason University, USA

I-34

A Syst ematic S tud y o f Layout Prox imity e ffects for 28 nm Poly/SiON Logi c T echn ology ....59

I-15

Ruoyuan Li, Jiajia Tao, Tao Yang, Zicheng Pan, Yuejiao Pu, Hong Wu, Shaofeng Yu, Falong Zhou, Yongping Deng, Ling Sun, Longyi Yue, Fengying He, Weizhong Xu, Bin Ye and TzuChiang Yu Semiconductor Manufacturing International Corporation (SMIC)

Chapter II – Lithography and Patterning Enlarge the Process Window of Patterns in 22nm Node Using M3D OPC and SMO....63 Yansong Liu , Xiaojing Su1, Lisong Dong1, Zhiyang Song, Moran Guo, Yajuan Su1, Yayi Wei1, Fengliang Liu2, Shengrui Zhang2, Lile Lu2, Weijie Shi2 and Junwei Lu2 1 Institute of Microelectronics, Chinese Academy of Sciences 2 Brion Technologies (Shenzhen) Co

II-34

Computational Techniques to Incorporate Shot Count Reduction into Inverse Lithography....67 Xiaofei Wu1, Shiyuan Liu2 and Edmund Y. Lam1 The University of Hong Kong

II-17

Mask Model Analysis and Its Application in 28 OPC Modeling....70 Quan Chen, Shirui Yu, Zhibiao Mao, Yu Zhang, Bin Gao, Yanpeng Chen and Albert Pang Shanghai Huali Microelectronics Corporation

II-18

The Mask 3D Effect on 2D Pattern Process Window, Positive Focus Shift or Negative Focus Shift? A Simulation Study....73 Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-21

Sub-Resolution Assist Feature (SRAF) Study For Active Area Immersion Lithography....77 Deping_Kong, Manhua_Shen and Qiang_Wu Semiconductor Manufacturing International Corporation (SMIC)

II-3

Study of the ADR Rinse Effect on Special Residual Type Defect....80 Bin Xing, Jing’an Hao, Guogui Deng and Qiang Wu Semiconductor Manufacturing International Corporation (SMIC

II-5

Mask Corner Chopping Effect in OPC Modeling....83 Weiwei Wu, Shirui Yu, Quan Chen, Zhibiao Mao and Yu Zhang Shanghai Huali Microelectronics Corporation

II-12

Metal Layer PWOPC Solution of Node 28nm and Beyond....86 Dan Wang, Shirui Yu, Zhibiao Mao, Xiang Wang and Yanpeng Chen Shanghai Huali Microelectronics Corporation

II-13

Via Auto Retarget Application in 28nm Technology Node....89 Bin-Jie Jiang, Shi-Rui Yu, Dan Wang, Yue-Yu Zhang, Yan-Peng Chen and Zhi-Biao Mao Shanghai Huali Microelectronics Corporation

II-14

High-Fidelity Lithography....92 Zhimin Zhu, Joyce Lowes, Vandana Krishnamurthy and Amanda Riojas Brewer Science Inc., USA

II-16

28 nm Poly-Cut Layer Lithography Process Developments....96 Bi-Qiu Liu, Zhi-Feng Gan, Zheng-Kai Yang, Xiao-Bo Guo, Zhi-Biao Mao, Xiang-Guo Meng, Quan-Bo Li and Yu Zhang Shanghai Huali Microelectronics Corporation

II-22

The Optimization of the Overlay Control for Beyond sub-40nm Lithography Processes....99 Zhifeng Gan, Zhibiao Mao, Wuping Wang, Hui Zhi, Zhengkai Yang, Biqiu Liu and Yu Zhang Shanghai Huali Microelectronics Corporation

II-24

Sub Resolution Assist Feature Study in 28nm Node Poly Lithographic Process....102 Xiaoming Mao, Zhengkai Yang, Zhifeng Gan, Xiaobo Guo, Biqiu Liu, Zhibiao Mao and Yu Zhang Shanghai Huali Microelectronics Corporation

II-25

The Problems and Solutions in 40 nm node Dual Gate Lithography Process Development....105 Dan Li, Zhifeng Gan, Yanyun Wang, Zhengkai Yang, Zhibiao Mao and Yu Zhang Shanghai Huali Microelectronics Corporation

II-26

Method of Improving Enhance Alignment Quality in Double Patterning with Spacer Process for 14-16 nm FinFET....108 Xianguo Dong, Zhibiao Mao, Zhengkai Yang, Zhifeng Gan, Wuping Wang, Xiaobo Guo, Liang Zhang,Yang Wang, Ermin Chong, Runling Li and Yu Zhang Shanghai Huali Microelectronics Corporation

II-33

Photolithography Solutions for Fabrication of Fin and Poly-gate in 14nm FinFET Devices....111 Xiaobo Guo, Xianguo Dong, Shuxin Yaoa, Zhifeng Gan, Wuping Wang, Zhengkai Yang, Ermin Chong, Quanbo Li, Zhibiao Mao, Liang Zhang, Runling Li and Yu Zhang Shanghai Huali Microelectronics Corporation

II-35

The Study of Overlay Mark in Self Aligned Double Patterning Process and Solution....114 Shuxin Yao, Xianguo Dong, Wei Yuan, Hongmei Hu, Yifei Lu, Shaohai Zeng, Chunyan Yi, Ming Li, Zhengkai Yang, Wuping Wang, ZhifengGan, Liang Zhang, Ermin Chong, Zhibiao Mao and Yu Zhang IRCD, Shanghai Huali Microelectronics Corporation

II-39

Wafer Edge Overlay Control for 28nm and Beyond Technology Node....117 Rui Wang, Yuntao Jiang, Guogui Deng, Bin Xing, Chang Liu and Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-41

Evaluation of Mask Fidelity using Automated Edge Placement Error Measurement with CD-SEM Images....121 Zubiao Fu1, Shijian Zhang2,Yi Huang2, Yi-Shih Lin2, Lanyan Shi1, Cong Zhang1, Yaoming Shi1 and Yiping Xu1 1 Raintree Scientific Instrument (Shanghai) Corporation 2 Semiconductor Manufacturing International Corporation (SMIC)

II-42

K=0.266 Immersion Lithography Patterning and its Challenge for NAND Flash....124 Huayong Hu, Weiming He, Gaorong Li, Nannan_Zhang, Liwan Yue, Lei_Ye, Jinhua_Pei and Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-43

E150 Advanced 150mm Reticle SMIF Pod....128 Huaping Wang, Tim Schmidt, Brian Wiseman and Tony Tieben Entegris, Chasko, USA

II-46

A new Method to Calculate Intensity Distribution in Source Mask Optimization....131 Yehua Zuo and Jinyu Zhan Institute of Microelectronics, Tsinghua University

II-48

Calculation Method of Intra-field CDU and Inter-field CDU Revisited for Advanced Immersion Lithography....134 Kaiting He and Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-49

Wafer 3D Effect Study with Finite-Difference Time-Domain (FDTD) Simulation Method....137 Liwan Yue, Huayong Hu, Chang Liu and Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-52

Study of CDSEM Measurement Issue Caused by Wafer Charging....141 Qiang Zhang, Guogui Deng, Bin Xing, Jingan Hao, Qiang Wu and Yishi Lin Semiconductor Manufacturing International Corporation (SMIC

II-53

Fabrication of 3D Carbon Structures Based on C-MEMS Technique....144 Shulan Jiang, Tielin Shi, Hu Long, Shuang Xi, Hu Hao, Siyi Cheng and Zirong Tang Huazhong University of Science and Technology

II-2

Discussion on Overlay Control for 2X nm Technology Node and Beyond....148 Yuntao Jiang, Guogui Deng, Bin Xing, Gaorong Li, Jinan Hao, Qiang Wu Semiconductor Manufacturing International Corporation (SMIC)

II-40

Enabling Capability of Multi-Patterning Towards 10nm and Beyond....151 Hidetami Yaegashi1, Kenichi Oyama2, Arisa Hara2, Sakurako Natori2, Shohei Yamauchi2, Masatoshi Yamato2, Noriaki Okabe2 and Kyohei Koike2 1 Tokyo Electron LTD Minato-ku, Tokyo, Japan 2 Tokyo Electron LTD Nirasaki City, Yamanashi, Japan

II-47

Ant Colony Algorithm for Layout Decomposition in Multiple Patterning Lithography....158 Xianhua Ke, Wen Lv and Shiyuan Liu Huazhong University of Science and Technology

II-44

The New Methodology of FEM Contact Process Window Verification....161 Yi-Lung Fang, Siao-Ling Li, Hsiang-Chou Liao, Tuung Luoh, Ling-Wu Yang, Tahone Yang and Kuang-Chao Chen Macronix Internation Co., LTD, Taiwan

II-38

Characterization and Improvement of Immersion Process Defectivity in Memory Device Manufacturing....164 Weiming He1, Huayong Hu1 and Qiang Wu2 Semiconductor Manufacturing International Corporation (SMIC Immersion Scanners Enabling 10 nm Half Pitch Production and High productivity....168 Tsuyoshi Suzuki, Hiroyuki Egashira, Yosuke Shirata, Tomoyuki Matsuyama, Motokatsu Imai, Reiji Kanaya and Takao Tsuzuki Nikon Corp., Japan The Solution to Enhance i-line Stepper Applications by Improving Process Overlay Accuracy....171 Atsushi Shigenobu, Yuhei Sumiyoshi, Ryo Sasaki, Yasuo Hasegawa, Kentaro Ushiku, Hirotaka Sano, Bunsuke Takeshita and Seiya Miura Canon Inc., Tochigi, Japan

II-6

II-31

II-8

Chapter III – Dry & Wet Etch and Cleaning Challenges and Solutions for 14nm FinFET Etching....174 Huang Jun1, Li Quanbo1, Chong Ermin1, Yi Chunyan2, Li Runling1, Gai Chenguang1, Ma Zhibiao1, Zhang Yu1 and Albert Pang 1 Shanghai Huali Microelectronics Corporation 2 Shanghai ICRD, Shanghai

III--9

Hard Mask Profile and Loading Control in SADP Process....178 ErMin Chong, YiZheng Zhu, ChunYan Yi, XianGuo Dong, Liang Zhang, QuanBo Li, Jun Huang and Yu Zhang Shanghai Huali Microelectronics Corporation

III--11

A Study of Pattern Transfer Fidelity During Metal Hard-Mask Open....181 Dalin Yao, Ruixuan Huang, Qiyang He and Haiyang Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--8

PMMA Removal Selectivity to PS Using Dry Etch Approach for Sub-10nm node....184 Aurelien Sarrazin1, Patricia Pimenta-Barros1, Nicolas Posseme1, Sebastien Barnola1, Ahmed Gharbi1, Maxime Argoud1, Raluca Tiron1 and Christophe Cardinaud2 1 CEA, LETI, MINATEC Campus, Grenoble, France 2 CNRS-IMN, Nantes, France

III--36

Method of Improving Dislocation for SiGe EPI Process....187 Xiangguo Meng, Quanbo Li, Jun Huang and Albert Pang Shanghai Huali Microelectronics Corporation

III--17

Challenges and Solutions to FinFET Gate Etch Process....190 Qiu-Hua Han, Xiao-Ying Meng and Hai-Yang Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--2

Bevel Etch Methods for BEOL Peeling Defect Reduction....193 Chenglong Zhang, Qiyang He and Haiyang Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--5

Methods of Line End Cutting of Line Small CD for 28nm Technology....196 Quanbo Li, Xiangguo Meng, Jun Tian, Jun Huang, Biqiu Liu, Zhonghua Li, Runling Li and Yu Zhang Shanghai Huali Microelectronics Corporation

III--12

Etching and Stripping Process Developments for Sub-10nm FDSOI Device Architectures Using Alternative Lithography Techniques*....199 O. Pollet, S. Barnola, N. Posseme and P. Pimenta-Barros University Grenoble Alpes, CEA. Leti, France

III--34

Real Time Endpoint Detection in Plasma Etching Using Real-Time Decision Making Algorithm....203 Ho-Taek Noh, Dong-Il Kim, and Seung-Soo Han MyongJi University Yongin, South Korea

III--23

Process Loading Reduction on SADP FinFET Etch....206 Yan Wang, Fangyuan Xiao, Dongjiang Wang, Qiuhua Han and Haiyang Zhang Semiconductor Manufacturing International Corporation (SMIC) Investigation for BEOL Post Etch Wet Cleaning of 40nm Node and Beyond....209 Wei Sheng, Kun Chen, Fang Li, Yefang Zhu, Lili Jia, Wenyan Liu and Jinxun Fang Shanghai Huali Microelectronics Corporation High H2 Ash Process Applications at Advanced Logic Process....211 Xiao-Ying Meng, Qiu-Hua Han and Hai-Yang Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--1

III--14

III--3

Optimization of 28nm M1 Trench Etch Profile and ILD Loss Uniformity....214 Hong-Rui Ren, Chen-Guang Gai, Jun Huang, Yu Zhang, Albert Pang, Li-Yan Zhang and Lei Sun Shanghai Huali Microelectronics Corporation Metal Hard-mask Approach AIO Etch Challenges and Solutions....217 Junqing Zhou, Minda_Hu, Qiyang_He and Haiyang_Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--19

III--4

28nm Metal Hard Mask Etch Process Development....220 Liyan Zhang1, Chenguang Gai1, Hongrui Ren1, Jun Huang1, Xu Zhang1, Shugen Pen1, Yu Zhang1 and Qiang Ge2 1 Shanghai Huali Microelectronics Corporation 2 Semiconductor Technology Group Applied Materials China Globe Account

III--16

The Optimization of Post Etch Treatment for Contact Etch Process....224 Jing-yong Huang, Qi-yang He and Hai-yang Zhang Semiconductor Manufacturing International Corporation (SMIC

III--17

A Study of Self-aligned Contact Etch of NOR Flash....227 Erhu Zheng, Yiying Zhang and Haiyang Zhang Semiconductor Manufacturing International Corporation (SMIC)

III--6

Optimize PET (Post Etch Treatment) Steps to Enlarge Queue Time and Decrease Defect Counts in Ultra Low-k Material AIO (All in One) Etch Processes....230 Xu Zhang, Chen-guang Gai and Jun Huang Shanghai Huali Microelectronics Corporation

III--15

Low Cost Photoresist Stripper Composition for Wafer Level Packaging Technology....233 Jianghua Liu, Pengcheng Wang, Bing Liu and Libbert Peng Anji Microelectronics, Shanghai

III--30

High Contrast Mark used for In-situ Nano-Imprint Lithography Alignment....238 Li Ding, Jin Qin and Liang Wang University of Science and Technology of China, China

II--30

Chapter IV – Thin Film Technology An Optimized W Process for Metal Gate Electrode Gap Filling Application....242 Jianhua Xu, Xuezhen Jing, Xiaoniu Fu, Xiaona Wang, Jingjing Tan, Ziying Zhang and Beichao Zhang Semiconductor Manufacturing International Corporation (SMIC)

IV-17

Mechanism of I-V Asymmetry of MIM Capacitors Based on High-k Dielectric....245 W.S. Lau, D.Q. Yu, X. Wang, H. Wong and Y. Xu Zhejiang University, Hangzhou

IV-26

Influence of Sputtering Gas on Resistivity of Thin Ni Silicide Films....248 H. Imamura1, K. Kakushima2, Y. Kataoka2, A. Nishiyama2, N. Sugii2, H. Wakabayashi2, K. Tsutsui2, K. Natori1 and H. Iwai1 1 Frontier Research Center, Tokyo Institute of Technology 2 Interdisciplinary Graduate School of Science and Technology, Tokyo Institute of Technology Uniformity Improvement of A-C: H Films prepared by PECVD....251 Lihong Xiao, Yan Yan and Siyuan Yang Semiconductor Manufacturing International Corporation (SMIC

IV-24

IV-5

Investigation of a-SiOx:H Films as Passivation Layer in Heterojunction Interface....254 Che-Hung Yeh3, Yen-Ho Chu1, Chien-Chieh Lee2, Yu-Lin Hsieh3, Shian-Ming Liu3, JenqYang Chang1, I-Chen Chen4 and Tomi T. Li4 1 Department of Optics and Photonics, National Central University, Taiwan 2 Optical Science Center, National Central University, Taiwan 3 Department of Mechanical Engineering, National Central University, Taiwan 4 Institute of Materials Science and Engineering, National Central University, Taiwan

IV-19

APF Hard Mask Distortion Improvement for High Aspect Ratio Patterning....257 Bing-Lung Yu, YuKai Huang, Shing-Ann Luo, Yi-Sheng Cheng, Yung-Tai Hung, Tuung Luoh, Lin-Wuu Yang, Tahone Yang and Kuang-Chao Chen Macronix International Co., Ltd, Taiwan

IV-15

The Study of Shallow Trench Isolation Gap-Fill for 28nm Node and Beyond....260 Yu Bao, Xiaoqiang Zhou, Ningbo Sang, Tong Lei, Gang Shi, Hailan Yi, Bin Zhong, Jun Zhou, Fang Li, Yi Ding, Runling Li, Haifeng Zhou and Jingxun Fang Shanghai Huali Microelectronics Corporation

IV-2

STI Gap-Fill Optimization For Advanced Nodes....263 Jun Yang, Yan Yan, Hao Deng and Beichao Zhang Semiconductor Manufacturing International Corporation (SMIC)

IV-16

Electroplating (ECP) Entry Related Defect Improvement Study....266 Xuezhen Jing1, Jingjing Tan 1 and Zhijun-Zhu2 1 Semiconductor Manufacturing International Corporation (SMIC) 2 Lam Research Service (Shanghai) Co

IV-27

Investigation and Solution of Intermittent GOI Failures at 40nm CMOS Devices....269 Ming Zhou Semiconductor Manufacturing International Corporation (SMIC

IV-30

Investigation of Dielectric Film Thickness Nununiformity Using Plasma Enhanced Chemical Vapor Deposition for 28nm Technology....276 Ming Zhou Semiconductor Manufacturing International Corporation (SMIC)

IV-31

Study of Grain Size and Polishing Performance of Aluminum Film as Metal Gate Electrode....281 Xiaoniu Fu, Xiaona Wang, Jianhua Xu, Wufeng Deng, Ziying Zhang, Xuezhen Jing and Beichao Zhang Semiconductor Manufacturing International Corporation (SMIC)

IV-9

AtomicLayer Deposition of RuO2 Thin Films on SiO2 using Ru(ETCP)2 and O2 Plasma....283 Hao-Xiang Zhang1, Chun-Min Zhang2 and Peng-Fei Wang2 1 Hangzhou Silan Azure Co. LTD., Hangzhou 2 Fudan University, Shanghai

IV-10

32/28 nm BEOL Cu Gap-Fill Challenges For Metal Film....286 Xuezhen Jing, Jingjing Tan and Jiquan Liu Semiconductor Manufacturing International Corporation (SMIC)

IV-33

Low Cu Electrolyte for Advanced Damascene Plating*....289 Jian Zhou, Edward C. Opocensky and Jonathan Reid Lam Research Corporation, Oregon, USA

IV-1

Application of Stress Reversal of Metal HardMask for 20nm and Beyond....293 Zhou Jun, Bao Yu, Gao Lin, Zhang Liang, Sun Lei, Zhou Haifeng and Fang Jingxun Shanghai Huali Microelectronics Corporation

IV-7

Advances n-Type nc-Si:H Layers Depositing on Passivation Layer Applied to the Back Surface Field Prepared by RF-PECVD....296 Chia-Cheng Lu1, Yu-Lin Hsieh1, Pei-Shen Wu2, Chien-Chieh Lee3, Yen-Ho Chu2, Jenq-Yang Chang2, I-Chen Chen4 and Tomi T. Li1 1 Department of Mechanical Engineering, National Central University, Taiwan 2 Department of Optics and Photonics, National Central University, Taiwan 3 Optical Science Center, National Central University, Taiwan 4 Institute of Materials Science and Engineering, National Central University, Taiwan

IV-22

Enhanced Electromigration Resistance Through Grain Size Modulation in Copper Interconnects*....299 C.-C. Yang, B. Li, F. H. Baumann, E. Huang, D. Edelstein and R. Rosenberg IBM Research, Albany, USA

IV-32

Piezoelectric Properties of ZnO / BN Multilayer Structures at the Nanometer Scale....304 Cao Rongrong, Fang Huayong, Wang Fang, Fu Bangran, Feng Yulin, Zhang Kailiang and Yang Baohe Tianjin University of Technology

IV-21

A Simple Model for Ultra-low Specific Contact Resistivity Metal- Interfacial Layer Semiconductor Contacts....307 Bencheng Huang1,2, Yingming Liu2, Xuezhen Jing2, Beichao Zhang2, Jingang Wu, Liming Gao1 and Chaoying Xie1 1 Shanghai Jiao Tong University, Shanghai 2 Semiconductor Manufacturing International Corporation (SMIC)

IV-14

Effect of Si Precursors on Micro-Loading, Morphology and Throughput of Selective Epitaxial Growth of Si and Si1-xGex*....312 Churamani Gaire, Bharat Krishnan and Jinping Liu GLOBALFOUNDRIES Inc., Malta, USA

IV-8

Surface Modification of Hydrogenated Amorphous Carbon (a-C: H) Films Prepared with Plasma Enhanced Chemical Vapor Deposition (PECVD)....316 Lihong Xiao, Eric Zhou and Huanxi Liu Semiconductor Manufacturing International Corporation (SMIC)

IV-4

Ultrathin Interfacial SiO 2 Layer Process Research for High-k Gate Last Gate Stacks....319 Zhenping Wen, Tianjin Xiao, Hongwei Zhang, Yuming Qui, Deqin Yu, Junlong Kang and Jingxun Fang Shanghai Huali Microelectronics Corporation

IV-3

Research of Silicon Cap for Epitaxy SiGe in Source/Drain Regions....322 Jianqin Gao, Jun Tan, Haifeng Zhou, Jingxun Fang and Albert Peng Shanghai Huali Microelectronics Corporation

IV-6

Synthesis of Monolayer MoS2 With Seed Promoters by Chemical Vapor Deposition at Low Temperature....325 Gu Pinchao, Zhang Kailiang, Feng Yulin, Wang Fang, Miao Yinping, Han Yemei, Cao Rongrong and Zhang Hanxia Tianjin University of Technology

IV-20

Chapter V – CMP and Post CMP Cleaning Novel Approach to CMP Slurry Filtration Through New Generation Nano-Fiber Technology....328 HJ Yang1, Yi Wei Lu2, Henry Wang3 and Bob Shie4 1 Korea LMC Technology Center, Entegris, Seongnam-si, Korea 2 Asia Applications Development and Laboratories, Entegris, Hsinchu-city, Taiwan 3 NMB Research and Development, Entegris, Hsinchu-city, Taiwan 4 CMP Product Management and Marketing, Entegris, Hsinchu-city, Taiwan

V-14

A Study on th e Cutting Track of Diamond Tips on the Pad Surface During CMP....332 Haiyu Kui an Zongqing Yang SY Micro-Electronic Tech. Ltd, Shenzen, China

V-1

Slurry Selectivity to Local Thickness Variations Control in advanced Cu CMP Process....336 Kuang-Wei Chen, Tung-He Chou, Syue-Ren Wu, Chun-Fu Chen, Yung-Tai Hung, Tuung Luoh, Ling-Wuu Yang , Tahone Yang and Kuang-Chao Chen Macronix International Co., Ltd, Hsin-chu, Taiwan

V-7

Barrier CMP Slurry for Low Topography and Wide Process Window....339 Chen Wang, Renjie Zhou, Wenting Zhou, Huafeng He and Xing Li Anji Microelectronics (Shanghai) Co., Ltd.

V-15

Advanced Process Control Applications for Advanced CMP Process....342 Jun Yang, Yi Shih Lin, SiYuan Frank Yang, Yi Huang, Qun Shao and Hongtao Liu Semiconductor Manufacturing International (Shanghai) Corp.

V-16

Application of Measurement Method on Cu-CMP Process....345 Yi Ding, Yefang Zhu, Junhua Yan, Conggang Wang, Wenbin Fan and Albert Pang Shanghai Huali Microelectronics Corporation

V-2

Improvement on Switching Uniformity of HfOx-based RRAM Device Fabricated by CMP....348 Feng Yulin, Su Shuai, Zhang Kailiang, Wang Fang, Yuan Yujie, Han Yeme and Cao Rongrong Tianjin University of Technology

V-11

Reciprocating Surface Grinding of Semiconductor Wafers: A Kinematic Model for Grinding Marks & Pattern....351 Qi Zhang1 and Zhichao Li2 1 School of Mechanical Engineering, Yangzhou University 2 Dept. of Industrial & Systems Engineering, North Carolina Agricultural & Technical State University, USA

V-12

An Analytical Model of Effects of 2-D Pad Surface Textures on Contact Pressure Distribution During CMP....354 Lixiao Wu and Changfeng Yan Lanzhou University of Technology

V-6

Chapter VI – Materials and Process Integration for Device and Interconnect Epitaxial Si Growth on Fin for NMOS Device Performance Improvement....357 Gang Mao, Yong Li and Rex Yang Semiconductor Manufacturing International Corporation (SMIC) Study of FIN CD Controllability For FinFET Manufacturing....360 Hai Zhao, Gang Mao and Rex Yang Semiconductor Manufacturing International Corporation (SMIC)

VI-9

IV-12

Techniques to Improve Read Noise Margin and Write Margin for Bit-cell of 14nm FINFET Node....364 Gong Zhang, Yu Li and Shaofeng Yu Semiconductor Manufacturing International Corporation (SMIC)

VI-4

Impact of Thermal Budget on the Low-Frequency Noise of DRAM Peripheral nMOSFETs....370 E. Simoen1, R. Ritzenthaler1, T. Schram1, A. Spessot2, M. Aoulaiche2, P. Fazan2, H.-J. Na3, S.-G. Lee3, Y. So4, K.B. Noh4, N. Horiguchi1, A. Thean1 and C. Claeys1 1 Imec, Leuven, Belgium 2 assignees at imec from Micron Belgium, Belgium 3 assignees at imec from Samsung Electronics, Korea 4 assignees at imec from SK-Hynix, Korea

VI-1

Challenges and Characterization of 14nm N-Type Bulk FinFET....373 Yong Li, Jianhua Ju and Miao Liao Semiconductor Manufacturing International Corporation (SMIC) Optimization of STI Oxide Recess Uniformity For FinFET Beyond 20nm....377 Lijuan Du1, Hai Zhao2, Weiguang Yang1, Rex Yang2, Larry Chen2, Shaofeng Yu2, Gang Mao2, Qingling Wang2, Yangkui Lin2, Shicheng Ding2 and Zhengling Chen2 1 School of Materials Science and Engineering, Shanghai University 2 Semiconductor Manufacturing International Corporation (SMIC Reliability Degradation Impact by Ultra Low-k Dielectrics and Improvement Study for BEOL Process Beyond 28nm Technology....381 Fanfei Bai and Xinghua Song Semiconductor Manufacturing International Corporation (SMIC) Stress Control on Plasma Resistant Ceramic Coating....384 Li Zhang, Xingjian Chen, Guofeng Yao, Bing Xu, Carl Su and, Xiaoming He Advanced Micro-Fabrication Equipment Inc, Shanghai The Study of 28nm Node Poly Double Patterning Integrated Process....387 Zhonghua Li, Runling Li,Tianpeng Guan, Xiaoming Mao, Biqiu Liu, Xiangguo Meng, Quanbo Li, Fang Li, Zhengkai Yang, Zhang Yu and Albert Pang Shanghai Huali Microelectronics Corporation

VI-10

VI-6

VI-17

VI-2

VI-11

Chapter VII – Packaging and Assembly TSV Fabrication for Image Sensor Packaging....391 Wang Ping, Wang Bangxu, Lv Jun, Mark Huang and Carl Lai Suzhou Speed Semiconductor Technology Co. Ltd

VII-14

Universal Copper Clip Packaging Solution For Power Management IC....395 Tan Boo Wei, Lily Khor, Lu Hai Long, Loh Lee Jeng and Gu Su Hang Carsem Suzhou

VII-17

Active Optical Cable Transceiver Packaging Trends and Die Bonding Case Studies*....398

VII-19

Daniel D. Evans, Jr Palomar Technologies, USA An Empirical Study of Quality Improvement on SIP Assembly Issue....402 Samuel Ye1, Kai Chang2, Dan Su1, Lei Yu1 and Kungang Wang1 1 Availink Inc, Beijing 2 ASE, Nantze Export Processing Zone, Kaohsiung, Taiwan

VII-4

Finite Element Simulation for FPCB Curing Process....405 Zheng Zhirong, Cui Kevin and Ding Pingren Infineon Technologies (Wuxi) Co., Ltd

VII-5

High Temperature Power Electronic Module Packaging....408 Simon S. Ang and Hao Zhang High Density Electronics Center, University of Arkansas, USA Innovative Ultra-Fine Line Substrate With Bump for Semiconductor Package....411 Nozomi Shimoishizka, Takahiro Nakano and Katsunori Hirata Connectec Japan Corp., Koudan-chou Myoukou City, Japan Numerical Analysis on the Liquid Cooling of Microchannel Heatsink With Phase Change Material....413 Han-Chieh Chiu1, Ren-Horng Hsieh1, Jhih-Teng Yao1 and Jer-Huan Jang2 1 Taipei Chengshih University of Science and Technology, Taipei Taiwan 2 Ming Chi University of Technology, New Taipei City, Taiwan

VII-7

VII-11

VII-9

Silver Alloy Wire for IC Packaging Solution....416 Tan Boo Wei, Niu You Hua and Wu Kang Sheng Carsem Suzhou

VII-18

Capillary Design Contribution to the Bonding Process Quality of NiPd-PPF Leadframes with Cu & PdCu Wires....419 Langut Ilan, Zuri Limor and Gur Giyora Kulicke & Soffa Bonding Tools, Israel

VII-22

Chapter VIII – Metrology, Reliability and Testing Case Study of Reducing Excursion Yield Loss....423 Ting-Pu Tai Mentor Graphics, USA An Optimized and Unified Synchronization-Based System for FPGA Power-up Validation to Minimize Post-Silicon Cycling Time....426 Hua Hua and Hongpeng Han Lattice Semiconductor Corporation, Shanghai

VIII-19

VIII-7

The Approaching of Capacitance-Voltage Measurement toward Real-World NanoDevice....429 LiLung Lai and Oscar Zhang Semiconductor Manufacturing International Corporation (SMIC)

VIII-27

Automated Test Platform for FPGA Software Validation....434 Shixiao Yan, Yu Zhao and Ping Chen Lattice Semiconductor Corporation, Shanghai

VIII-10

Sample Preparation and Improvement for Die Pull Test....437 Xiali Chen1, Wei-ting Kary Chien1, Bo Cheng1 and Guan Zhang2 1 Semiconductor Manufacturing International (Shanghai) Corp. 2 Semiconductor Manufacturing International (Beijing) Corp

VIII-12

The Detection and Investigation of SRAM Data Retention Soft Failures by Voltage Contrast Inspection....440 Rongwei Fan, Hunglin Che, Yin Long, Qiliang Ni, Kai Wang, Zhibin He, Zhengkai Yang㸪 Yanyun Wang and Liang Ni Shanghai Huali Microelectronics Corporation Study on Influence of Integrating Sphere Test Position on Measuring Accuracy of Optical parameters of LED Chip....443 Chen Tengfei, Liu Qi and Li Bin Huazhong University of Science and Technology

VIII-28

Novel Crosstalk Minimization Code for 3D IC....446 Yifan Zhang, BinBin Li, Bolun Zhang and Dongmei Xue Peking University Shenzhen Graduate School

VIII-35

Use Soft-Decision Error-Correction Codes in Phase-Change Memory....449 Binbin Li, Bolun Zhang, Yifan Zhang and Dongmei Xue Peking University Shenzhen Graduate School

VIII-36

The Research of Risk Assessment and Sampling Methodology Combination....452 Nicholas Zhang, Chad Chou, Sherry Guo, Jenny Ma and Randy Kang Semiconductor Manufactory International Corp.

VIII-37

Compressive Sensing Method for Production Chip Test....455 Bolun Zhang, Yifan Zhang and Binbin Li Peking University Shenzhen Graduate School

VIII-39

RF Solution with Pingpong Test Method....458 Lexlin Dong, Jason Shao, Nina Huang Carsem Suzhou

VIII-42

Novel Three Dimensional (3D) CD-SEM Profile Measurements....461 Makoto Yoshikawa1, Benjamin Bunday2, Longhai Liu3, Wataru Ito1, Soichi Shida1, Jun Matsumoto1 and Takayuki Nakamura1 1 ADVANTEST Corporation, Saitama, Japan 2 SEMATECH, Albany, NY, USA 3 ADVANTEST (China) Co., Ltd, Shanghai, China

VIII-33

Auto-Metrology on TEM |Images of LED Epitaxial Layers....464 Sajal Biring Materials Analysis Technology Inc. Taiwan

VIII-17

VIII-2

The Analysis and Reduction of Auto Focus Failure of Advanced Darkfiled Inspection System....467 Zengyi Yuan, Qiliang Ni, Hunglin Chen and Yin Long Shanghai Huali Microelectronics Corporation

VIII-31

Etch Rate Prediction in Plasma Etching using Feed Forward Error-Back Propagation Neural Network Model....471 Ha-Deok Song, Ho-Taek Noh, Dong-Il Kim and Seung-Soo Han Myongji University, Korea

VIII-29

OCD Measurement of Defocus and Dose in EUV Lithography....474 Chen Huiping, Gao Fu, Huang Kun, Zhang Zhensheng, Shi Yaoming and Xu Yiping Raintree Scientific Instruments (Shanghai) Corporation

VIII-22

Plasma Diagnostics of Resonance Magnetic Field Effects On a-Si:H Thin Films Deposition Using Electron Cyclotron Resonance Plasma....477 L.C. Hu1, Y.W. Lin1, C.J. Wang1, T. C. Wei1, C.R. Yang1, C.C25. Lee1, J.Y. Chang1, I.C. Chen1 and Tomi T. Li1 1 Depart. Mechanical Eng., National Central University, Taoyuan, Taiwan 2 R&D Center for Membrane Technology, Depart, Chem. Eng., Chung Yuan Christian University, Taoyuan, Taiwan 3 Optical Science Center, National Central University, Taoyuan, Taiwan 4 Inst. Mat. Sci. and Eng., National Central University, Taoyuan, Taiwan

VIII-40

Stress Measurements on TSVs and B EOL Structures with High Spatial Resolution....480

VIII-41

Dietmar Vogel, Ellen Auerswald, Juergen Auersperg and Sven Rzepka Fraunhofer ENAS, Chemmitz, Germany The Electromigration Failure Mechanism for TSV Process....483 Yong Lv , Atman Zhao and Canny Chen Semiconductor Manufacturing International Corporation (SMIC)

VIII-25

Uniformity Impact on the Upstream Electromigration of 40nm Low-k Cu Interconnect....487

VIII-15

Xiangfu Zhao and Atman Zhao Semiconductor Manufacturing International Corporation (SMIC) A New Staircase Test Method for Copper Via Electromigration on CMOS Wafers....489 Kirby Tan Kheng Seong1,2, Albert Victor Kordesch1 and Aftanasar Md. Shahar2 1 Altera Corporation (M) Sdn. Bhd., Penang, Malaysia 2 Universiti Sains Malaysia, Penang, Malaysia

VIII-3

Design Based Inspection Methodology and Application in the Fab....493 Jinghua Ke, Ofer Shopen, Fei Li, Wensheng Li and Mike Chang Applied Materials Inc., Shanghai

VIII-13

Diagnosis Driven Approach for Low Yield Analysis and Identifying Root Causes for Final Compensation from Wafer Foundry....496 Samuel Ye1, Jian Wu1, Xiuquan Li1, Liyun Qin1, Kungang Wang1, Clayton Shen2, Hailing Zhang2 1Availink Inc., Haidian, Beijing 2 Availink Inc., Germantown, MD, USA

VIII-9

Chapter IX – Emerging Semiconductor Technologies Schottky-Barrier Modulated HfO2-Resistive Switching Memory with ultra-low Power....499

Jian Xiaochuan, Zhang Kailiang, Wang Fang, HanYemei, Zhao Jinshi, Wang Baolin, Sun Kuo and Zhang Hongzhi Tianjin University of Technology

IX-7

Effect of VOx interlayer in Cu /HfOx/TiN Cell and its Resistive Switching Mechanism....502 Zhang Hongzhi, Zhang Kailiang, Wang Fang, Han Yemei, Zhao Jinshi, Wang Baolin, Jian Xiaochuan and Sun Kuo Tianjin University of Technology Investigation of Surface Plasmon Enhanced Organic Light Emitting Diode by Numerical Analysis....505 Wan-Jung Yang, Chih-Kai Hu and Tomi T. Li National Center University, Taoyuan, Taiwan

IX-8

IX-10

GaN-on-Diamond Wafers: Recent Developments....508 Felix Ejeckam, Daniel Francis, Firooz Faili, Frank Lowe, Daniel Twitchen and Bruce Bolliger Element Six Technologies, US Corporation, USA

IX-6

The Optimization of the High-Temperature Heat Source for a MOCVD Vacuum Reactor....511 Hsien-Chih Chiua, Chih-Kai Hua, Hung-I Chien, Tomi T. Li and Pi-Chen Tung National Central University, Taiwan

IX-9

Numerical Analysis for Thermal Field of Susceptor in MOCVD Reactor....514 Kuo-Hung Ho, Chih-Kai Hu and Tomi T. Li National Central University, Taiwan

IX-11

Chapter X – Advances in MEMS and Sensor Technologies Academic Approach to New Industry-Relevant MEMS....517 Shuji Tanaka Tohoku University, Sendai, Japan Technology for Polymer-Based Integrated Optical Interferometric Sensors Fabricated by Hot-Embossing and Printing....521 Yanfen Xiao1, Meike Hofmann1, Stanislav Sherman1, Yixiao Wang2 and Hans Zappe1 1 University of Freiburg, Freiburg, Germany 2 Leibniz Universität Hannover, Germany

X-9

X-12

A High Sensitivity Alcohol Gas Sensor Based on TiO2 Thin Films....524 Zhang Hanxia, Wei Wanli, Zhang Kailian, Wang Fang, Yuan Yujie, Yang Zhengchun, ZhangTiantian and Sun Shijiu Tianjin University of Technology

X-7

Development of a Jet-Generator and Its Application to Angular Rate Sensor....527 Phan Thanh Hoa1, Thien Xuan Dinh2 and Van Thanh Dau3 1 Hanoi University of Industry, Vietnam 2 Ritsumeikan University, Shiga, Japan 3 Sumitomo Chemical Ltd., Hyogo, Japan

X-5

Chapter XI – Circuit Design, System Integration and Applications Method for Analog-Mixed Signal Design Verification and Model Calibration....530 Chao Liang1, Zhou Fang1 and C.-Z. Chen2 1 Freescale Semiconductor, Suzhou, Jiangsu, China 2 Qualchip Technologies, Inc., Wuxi, Jiangsu, China

XI-8

A Wide Input Voltage Range, Output-Capacitorless Linear Voltage Regulator in 0.25um BCD Process....534 Danhui Wang, Yuanfu Zhao, and Suge Yue Beijing Research Institute of Micro-Electronics, Beijing, China

X1-1

Low Power, Highly Linear Folded Mixer Employing a Multiple-Gated Transistor Approach for Linearity Enhancement....538 Najam Muhammad Amin, Zhigong Wang and Zhiqun Li Engineering Research Center of RF-ICs and RF-Systems, MOE, Nanjing, Jiangsu, China

XI-6

Reliability Verification of Multi-Power Domain Designs Using an Integrated Approach of Symbolic and Geometry Analysis....541 Sridhar Srinivasan1, Hung-Hsu Feng1 and Yi-Ting Lee2 1 Mentor Graphics Corp., Wilsonville, OR, USA 2 Mentor Graphics Corp., HsinChu, Taiwan, R.O.C.

XI-11

Accelerating Timing Closure Using Incremental Advanced OCV....545 Chunyang Feng1, Ritesh Shyamsukha2, Shankar Radhakrishnan2, Jianquan Zheng1 and Alice Gao1 1 Synopsys Inc., Shanghai, China 2 Synopsys Inc., Mountain View, CA, USA

XI-2

A Pseudo C-2C and CBW Hybrid DAC Structure Used for SAR ADC....548 Xiaoxu Meng1 , Wenliang Geng2 , Yang Cao1 and Guoxing Wang 1 Shanghai Jiao Tong University, Shanghai, China 2 Parade Technologies, Ltd, ShanghaiXI-

XI-9

A Novel Sense-Amplifier Based Flip-Flop with Bulk-Driven Technique....551 Xiaoying Deng, Yanyan Mo, Xihui Tang, Xin Lin and Liu Liu Shenzhen University, Shenzen, Guangdong, China

XI-7

A Novel Hall Dynamic Offset Cancellation Circuit Based on Four-phase Spinning Current Technique....554 Xiaoqing Chen1, Yue Xu1,2, Xiaopeng Xie1, YuFeng Guo1,2 and Yang Huang1 1 College of electronic science & engineering, Nanjing University of Posts and Telecommunications, Nanjing, Jiangsu, China 2 Jiangsu Provincial Engineering Laboratory of RF Integration & Micro-packaging, Nanjing, Jiangsu, China

XI-3

Chapter XII – Materials and Photovoltaic Technologies Iron Contamination and Reusability of Seed Crystal for Quasi-Single Crystalline Silicon Ingots for Solar Cells....557 Zaoyang Li1,2, Lijun Liu1, Xiaofang Qi1, Genxiang Zhong1,3 and Genshu Zhou2 1 School of Energy and Power Engineering, Xi'an Jiaotong University, Xi'an 2 State Key Laboratory for Mechanical Behavior of Materials, Xi'an Jiaotong University, Xi'an3Donghai 3JA Solar Technology Co., Ltd., Lianyungang, Jiangsu

XII-4

High-Performance Monocrystalline Silicon Could Lead The Photovoltaic Power Generation in the Future....560 Hao Deng, Nannan Fu, Peidong LiuGang Wu㸪Feng Wang, Caijun Luo and Liangping Deng Silicon Materials Corp., Xi’an, China Intrinsic Point Defect Behavior Close to Silicon Melt/Solid Interface....563 Jan Vanhellemont1 , Eiji Kamiyama2 , Kozo Nakamura2 and Koji Sueoka2 1 Department of Solid State Sciences, Ghent University, Belgium 2 Department of Communication Engineering, Okayama Prefectural University, Japan

XII-8

Pyramid Size Control and Influence on the Performance of Silicon Heterojunction Solar Cells....566 Xiaorang Tian, Qi Wang, Hongtao Hou, Guangyu Chen, Guanchao Zhao, Rong Yang, Liwei Li, Yuan Meng and Ted Guo ENN Solar Energy Co., Ltd., Langfang

XII-2

XII-10

The Influencing Factors and Formation Mechanism of the Dark Ring of Monocrystalline Silicon Cells....569 Peidong Liu, Caijun Luo, Linjun Chen, Hao Deng, Rui Zhou, Zicheng Ma, Longlong Zhan and Liangping Deng Silicon Materials Corp., Xi’an, China

XII-6

The Connections Between Dark Rings and Efficiency & the Analysis of Low Efficiency in Monocrystalline Silicon Solar Cell....572 Peidong Liu, Linjun Chen, Caijun Luo, Hao Deng, Jing Li, Rui Zhou, Xinqiang Wang and Liangping Deng Silicon Materials Corp., Xi’an, China

XII-7

Current Status and Future Prospect for Thin Film Silicon Based Photovoltaic Module Manufacturing Technology at Hanergy....575 Xixiang Xu , Hui Zhao, Xiaoning Ru, Xinghong Zhou, Chengjian Hong, Chongyan Lian, Changtao Peng, Minghao Qu, Yue Zhang, Cao Yu, Anhong Hu, James Huang, Jack Xiao, Chuck Hu, Jinyan Zhang and Yuanmin Li Hanergy Solar Group Chengdu R&D Center, Chengdu, China

XII-21

Development of ITO/Layered a-P Si:H Film Stack For Silicon Heterojunction Solar Cells....582 Shibin Gu, Lin Zhang, Jin Wang, Mingchong Ren, Yanru He, Juan Zhang, Zhan Xu, Guangyu Chen, Lingling Dai, Guanchao Zhao, Qi Wang, Rong Yang, Liwei Li, Yuan Meng and Ted Guo ENN Solar Energy Co., Ltd., Langfang, China

XII-9

Glass Phase Alignment in Front Side Pastes for P- and N-Type Solar Cells....585 Markus Eberstein1, Kathrin Reinhardt1, Stefan Körner1, Fabian Kiefer1and Robby Peibst1 1 Fraunhofer IKTS, Winterbergstr. 28, 01277 Dresden, Germany 2 Institut für Solarenergieforschung GmbH Hameln/Emmerthal, Emmerthal, Germany

Additional Paper Near Infrared Sensitive Hybrid Planar-Bulk Heterojunction Organic Field-Effect Transistors with Copper Hexadecafluorophthalogcyanine as Acceptor....590 Liyuan Peng1,2, Junkang Zhong3, Wenli Lv3, Yanchang Liu3, Yingquan Peng1,3 1 College of Optical and Electronic Technology, China Jiliang University, Hangzhou, China 2 Yingcai Experimental School, University of Electronic Science and Technology of China, Chengdu, China 3 Institute of Microelectronics, School of Physical Science and Technology, Lanzhou University Lanzhou, China

XII-13

Suggest Documents